J. Altet and A. Rubio, Thermal testing of integrated circuits, 2002.
DOI : 10.1007/978-1-4757-3635-9

A. A. Al-yamani and E. J. Mccluskey, Built-in reseeding for serial BIST, Proceedings. 21st VLSI Test Symposium, 2003., pp.63-68, 2003.
DOI : 10.1109/VTEST.2003.1197634

. [. Ando-[-baik05-]-d, K. K. Baik, and . Saluja, Testing VLSI with random access scanProgressive Random Access Scan: A Simultaneous Solution to Test Power, Test Data Volume and Test Time, IEEE Computer Conference IEEE International Test Conference, pp.50-52, 1980.

P. [. Bonhomme, L. Girard, C. Guiller, S. Landrault, and . Pravossoudovitch, A gated clock scheme for low power scan testing of logic ICs or embedded cores, Proceedings 10th Asian Test Symposium, pp.253-258, 2001.
DOI : 10.1109/ATS.2001.990291

P. [. Bonhomme, C. Girard, S. Landrault, and . Pravossoudovitch, Power driven chaining of flip-flops in scan architectures, Proceedings. International Test Conference, pp.796-803, 2002.
DOI : 10.1109/TEST.2002.1041833

URL : https://hal.archives-ouvertes.fr/lirmm-00268492

Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, S. [. Pravossoudovitch et al., Efficient scan chain design for power minimization during scan testing under routing constraintCombinational Profiles of Sequential Benchmark CircuitsEssentials of Electronic Testing, IEEE International Symposium on circuits and systemsMinimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques IEEE International Test Conference, pp.488-493, 1929.

C. [. Castell, M. Cayrol, D. L. Cayrol, and . Berre, Silicon EnsembleUsing the davis and putnam procedure for an efficient computation of preferred models, European Conference on Artificial Intelligence, pp.350-354, 1996.

A. Chandra and K. Chakrabarty, Combining Low-Power Scan Testing and test Data Compression for System-on-a-ChipEstimating dynamic power consumption of CMOS circuits, Design Automation Conference IEEE International Conference on VLSI Design, pp.166-171, 1987.

A. Crouch, C. Shi, and R. Kapur, Design-For-test for digital IC's and embedded core systemsHow Power Aware Test Improves Reliability and Yield, IEEDesign.com, 1999.

V. Dabholkar, S. Chakravarty, I. Pomeranz, and S. Reddy, Techniques for minimizing power dissipation in scan and combinational circuits during test application, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.17, issue.12, pp.1325-1333, 1998.
DOI : 10.1109/43.736572

M. [. Dastida, N. Ng, ]. E. Touba, E. Eichelberger, J. A. Lindbloom et al., An efficient test vector compression scheme using selective Huffman coding IEEE Transaction on Computer Design of Integrated Circuits and SystemsITC99 Benchmarks circuits ? preliminary resultsStructured Logic Testing, IEEE International Test Conference, vol.22, issue.6, 1991.

S. [. El-maleh, E. Zahir, and . Khan, Ageometric primitive based compression scheme for testing system-on-a-chipFAN: A Fanout-Oriented Test Pattern Generation Algorithm, Proceeding of the International Symposium on Circuits and Systems, pp.54-59671, 1985.

M. R. Garey, D. S. Johnson-intractability, . [. Guide, H. J. Gerstendörfer, . [. Wunderlich et al., Minimized Power Consumption for Scan-based BISTMinimized Power Consumption for Scan-based BISTSurvey of Low-Power Testing of VLSI CircuitsAn Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits, IEEE International Test Conference, pp.77-84, 1978.

. [. Golomb, Run-length encodings (Corresp.), IEEE Transactions on Information Theory, vol.12, issue.3, pp.399-401, 1966.
DOI : 10.1109/TIT.1966.1053907

I. Hamzaoglu and J. Patel, Reducing test application time for full scan embedded cores, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352), pp.206-267, 1999.
DOI : 10.1109/FTCS.1999.781060

URL : http://chaos.crhc.uiuc.edu/~hamza/ftcs99ih.ps.Z

. Mochiyama, BIST-Aided scan test ? a new method for test cost reduction, IEEE VLSI Test Symposium, pp.359-364, 2003.

K. [. Huang, . [. Lee, L. A. Johnson, and . Mcgeoch, An Input Control Technique for Power Reduction in Scan Circuits During Test ApplicationThe Traveling Salesman Problem : A Case Study in Local Optimization, Local Search algorithms inStatic Power and the Importance of Realistic Junction Temperature Analysis, pp.315-320, 1996.

V. [. Mudlapur, A. D. Agrawal, and . Singh, A random access scan architecture to reduce hardware overhead, IEEE International Conference on Test, 2005., p.15, 2005.
DOI : 10.1109/TEST.2005.1583993

M. [. Narayanan and . Breuer, Reconfigurable scan chains: A novel approach to reduce test application time, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD), pp.624-630, 1994.
DOI : 10.1109/ICCAD.1993.580166

. [. Keutzer, M. Poehl, R. Beck, P. Arnold, N. Muhmenthaler et al., Industrial Experience with Adoption of EDT for Low-Cost Test Without ConcessionsCompression de données pour le test des circuits intégrésEmbedded deterministic test, Minimization of Dynamic and Static Power Through Joint Assignment of Threshold Voltages and Sizing Optimization Thèse de Doctorat, pp.158-163, 2003.

J. P. Roth, Diagnosis of Automata Failures: A Calculus and a Method, IBM Journal of Research and Development, vol.10, issue.4, pp.278-291, 1966.
DOI : 10.1147/rd.104.0278

. Williams, A reconfigurable shared scan-in architecture, IEEE VLSI Test Symposium, pp.14-19, 2003.

R. [. Sankaralingam, N. A. Oruganti, and . Touba, Static compaction techniques to control scan vector power dissipation, Proceedings 18th IEEE VLSI Test Symposium, pp.35-40, 2000.
DOI : 10.1109/VTEST.2000.843824

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.36.1755

N. [. Sankaralingam and . Touba, Controlling peak power during scan testing, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002), pp.153-159, 2002.
DOI : 10.1109/VTS.2002.1011127

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.6.7869

M. Sreeprakash and . Hachinger, A Case Study of IR-Drop in Structured At-Speed Testing, Effets Parasites dus aux interconnexions Thèse de Doctorat, pp.1098-1104, 2001.

Y. Chang, K. Sandeep, M. A. Gupta, and . Breuer, Analysis of Ground Bounce in Deep Sub-Micron Circuits [SIAS05] SemiConductor Industry Association (SIA), "International Technology Roadmap for Semiconductors (ITRS)A novel scan architecture for power-efficient, rapid test, International Conference on Computer-Aided Design, pp.110-116, 1996.

Z. Wang and K. Chakrabarty, Test Data Compression for IP Embedded Cores Using Selective Encoding of Scan Slices, IEEE International Test Conference, vol.3, p.24, 2005.

S. [. Wang and . Gupta, ATPG for heat dissipation minimization during scan testing, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.614-619, 1997.
DOI : 10.1145/266021.266298

S. [. Wang and . Gupta, LT-RTPG: a new test-per-scan BIST TPG for low heat dissipation, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034), pp.85-94, 1999.
DOI : 10.1109/TEST.1999.805617

L. J. Whetsel-[-will73-]-m, J. B. Williams, and . Angell, Adapting Scan Architectures for Low Power OperationEnhancing Testability of Large-Scale Integrated Circuits via Test Points and Additional Logic, IEEE International Test Conference IEEE Trans. On Computers, issue.1, pp.863-872, 1973.

J. [. Wohl, S. Waicukauski, M. B. Patel, and . Amin, Efficient compression and application of deterministic patterns in a logic BIST architecture, Proceedings of the 40th conference on Design automation , DAC '03, pp.566-569, 2003.
DOI : 10.1145/775832.775976

. [. Wunderlich, PROTEST: A Tool for Probabilistic Testability Analysis, 22nd ACM/IEEE Design Automation Conference, pp.639-644, 1985.
DOI : 10.1109/DAC.1985.1585936

A. Wurtenberger, C. S. Tautermann, and S. Hellebrand, Data compression for multiple scan chains using dictionaries with corrections, 2004 International Conferce on Test, pp.451-459, 2004.
DOI : 10.1109/TEST.2004.1387357

Y. [. Wen, S. Yamashita, L. T. Kajihara, K. K. Wang, K. Saluja et al., On Low-Capture-Power Test Generation for Scan Testing, IEEE VLSI Test Symposium, pp.265-270, 2005.

T. Yoshida, M. Watati-new, . Approach, ]. N. Low-power-scan-testingbade06a, P. Badereddine et al., A Distributed BIST Control Scheme for Complex VLSI Devices Références relatives à l'étude OuvragesScan Cell Reordering for Peak Power Reduction during Scan Test Cycles, IEEE VLSI Test Symposium chapitre dans IFIP Series, VLSI-SOC'05 Conference Conférences internationales avec actes et comités de lecture [BADE06b] N. Badereddine, pp.480-487, 1993.

]. N. Bade06c, P. Badereddine, S. Girard, C. Pravossoudovitch, A. Landrault et al., Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing, IFIP International Conference on Very Large Scale Integration, 2006.

]. N. Bade06d, P. Badereddine, S. Girard, C. Pravossoudovitch, A. Landrault et al., Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics, IEEE Design and Test of Integrated Systems, 2006.

N. Badereddine, P. Girard, S. Pravossoudovitch, C. Landrault, A. Virazel et al., Minimizing Peak Power Consumption during Scan Testing: Structural Technique for Don't Care Bits Assignment, 2006 Ph.D. Research in Microelectronics and Electronics, pp.65-68, 2006.
DOI : 10.1109/RME.2006.1689897

URL : https://hal.archives-ouvertes.fr/lirmm-00137614

N. Badereddine, P. Girard, S. Pravossoudovitch, C. Landrault, and A. Virazel, Peak Power Consumption During Scan Testing: Issue, Analysis and Heuristic Solution, Diagnostics of Electronic Circuits and Systems, pp.151-159, 2005.
DOI : 10.1109/dtis.2006.1708693

URL : https://hal.archives-ouvertes.fr/lirmm-00105990

]. N. Bade05b, P. Badereddine, A. Girard, S. Virazel, C. Pravossoudovitch et al., Why Do We Need to Reduce Peak Power Consumption During Scan Capture?, International Workshop on Power and Timing Modeling, Optimization and Simulation, pp.540-549, 2005.

]. N. Bade05c, P. Badereddine, S. Girard, A. Pravossoudovitch, C. Virazel et al., Power-Aware Scan Testing for Peak Power Reduction Conférences sans actes ou avec actes à diffusion restreinteStructural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction During Scan Testing, IFIP International Conference on Very Large Scale Integration, pp.441-446, 2005.

]. N. Bade06g, P. Badereddine, S. Girard, A. Pravossoudovitch, C. Virazel et al., Réduction de la Consommation de Puissance de Pic Pendant le Test Série, Journée des Doctorants de l'école doctorale Information, Structures et Systèmes, 2006.

]. N. Bade06h, P. Badereddine, S. Girard, C. Pravossoudovitch, A. Landrault et al., Technique Structurelle d'Affectations des Bits Non Spécifiés en Vue d'une Réduction de la Puissance de Pic Pendant le Test Série, Journées Nationales du Réseau Doctoral en Microélectronique, 2006.

]. N. Bade06i, P. Badereddine, S. Girard, A. Pravossoudovitch, C. Virazel et al., Peak Power Reduction During Scan testing, 2006.

]. N. Bade05d, P. Badereddine, S. Girard, A. Pravossoudovitch, C. Virazel et al., Analyse et Réduction de la Puissance de Pic durant le Test Série, Journées Nationales du Réseau Doctoral en Microélectronique, pp.502-504, 2005.

]. N. Bade05e, P. Badereddine, S. Girard, A. Pravossoudovitch, C. Virazel et al., Peak Power Consumption During Scan testing, South European Test Seminar, 2004.