P. Roth, Diagnosis of Automata Failures: A Calculus and a Method, IBM Journal of Research and Development, vol.10, issue.4, pp.278-291, 1966.
DOI : 10.1147/rd.104.0278

R. Datta, Texas Instruments) and S. Sunter (Mentor Graphics), " Can EDA help solve analog test and DfT challenges ?, International Test Conference Panels, 2009.

A. Bounceur, Plateforme CAO pour le test de circuits mixtes, Phd-thesis in micro and nano electronics, 2007.

S. Sunter and N. Nagi, Test metrics for analog parametric faults, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.226-234, 1999.
DOI : 10.1109/VTEST.1999.766670

R. Y. Rubinstein, Simulation and the Monte Carlo Method, 1981.

K. Kundert, H. Chang, D. Jefferies, G. Lamant, E. Malavasi et al., Design of mixed-signal systems-on-a-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.12, pp.1561-1571, 2000.
DOI : 10.1109/43.898832

R. Sommer, I. Rugen-herzig, E. Hennig, U. Gatti, P. Malcovati et al., From system specification to layout: seamless top-down design methods for analog and mixed-signal applications, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, 2002.
DOI : 10.1109/DATE.2002.998405

H. Chang, E. Felt, and A. Sangiovanni-vincentelli, Top-down, constraint-driven design methodology based generation of a second order ??-?? A/D converter, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference, pp.533-536, 1995.
DOI : 10.1109/CICC.1995.518240

G. G. Gielen and R. A. Rutenbar, Computer-aided design of analog and mixed-signal integrated circuits, Proceedings of the IEEE, pp.1825-1854, 2000.
DOI : 10.1109/5.899053

S. W. Director, Optimization of parametric yield, [Proceedings] 1991 International Workshop on Defect and Fault Tolerance on VLSI Systems, pp.1-18, 1991.
DOI : 10.1109/DFTVS.1991.199938

P. G. Drennan and C. C. Mcandrew, Understanding MOSFET mismatch for analog design, IEEE Custom Integrated Circuits Conference, pp.449-452, 2002.

M. J. Pelgrom, A. C. Duinmaijer, and A. P. Welbers, Matching properties of MOS transistors, IEEE Journal of Solid-State Circuits, vol.24, issue.5, pp.1433-1439, 1989.
DOI : 10.1109/JSSC.1989.572629

D. Johns and K. Martin, Analog Integrated Circuit Design, 1997.

D. Kim, C. Cho, J. Kim, J. Plouchart, R. Trzcinski et al., CMOS Mixed-Signal Circuit Process Variation Sensitivity Characterization for Yield Improvement, IEEE Custom Integrated Circuits Conference 2006, pp.365-368, 2006.
DOI : 10.1109/CICC.2006.320950

S. Wang, J. Lee, and C. Chang, An Efficient and Reliable Approach for Semiconductor Device Parameter Extraction, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.5, issue.1, pp.170-179, 1986.
DOI : 10.1109/TCAD.1986.1270184

Y. Cheng, M. Jeng, Z. Liu, J. Huang, M. Chan et al., A physical and scalable I-V model in BSIM3v3 for analog/digital circuit simulation, IEEE Transactions on Electron Devices, pp.277-287, 1997.

L. Milor and A. Sangiovanni-vincentelli, Computing parametric yield accurately and efficiently, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers, pp.11-15, 1990.
DOI : 10.1109/ICCAD.1990.129856

T. Mukherjee and L. R. Carley, Rapid yield estimation as a computer aid for analog circuit design, IEEE Journal of Solid-State Circuits, vol.26, issue.3, pp.291-299, 1991.
DOI : 10.1109/4.75008

H. Chang, A. Sangiovanlli-vincentelli, F. Balarin, E. Charbon, U. Choudhury et al., A top-down, constraint-driven design methodology for analog integrated circuits, Proc. IEEE Custom Integrated Circuits Conference, pp.841-846, 1992.

P. Suchanek, D. Slepicka, and V. Haasz, Several approaches to ADC transfer function approximation and their application for ADC non-linearity correction, Metrology and Measurement System, 2008.

N. H. Saada, R. S. Guindi, and A. E. Salama, A New Approach for Modeling the Nonlinearity of Analog to Digital Converters Based on Spectral Components, 2006 IEEE International Behavioral Modeling and Simulation Workshop, pp.120-125, 2006.
DOI : 10.1109/BMAS.2006.283481

P. Suchanek, V. Haasz, and D. Slepicka, ADC nonlinearity correction based on INL(n) approximations, 2009 IEEE International Workshop on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, pp.137-140, 2009.
DOI : 10.1109/IDAACS.2009.5343008

E. J. Peralias, A. Rueda, and J. L. Huertas, Statistical behavioral modeling and characterization of A/D converters, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), p.562, 1995.
DOI : 10.1109/ICCAD.1995.480172

R. Baraniecki, P. Dabrowski, and K. Hejn, Oversampling ?? analog-to-digital converters modeling based on VHDL, Analog Integrated Circuits and Signal Processing, vol.16, issue.2, pp.101-109, 1998.
DOI : 10.1023/A:1008211605358

P. Malcovati, S. Brigati, F. Franscesconi, F. Maloberti, P. Cusinato et al., Behavioral modeling of switched-capacitor sigma-delta modulators, IEEE Transactions on Circuits and Systems- I : Fundamental Theory and Applications, pp.351-364, 2003.
DOI : 10.1109/TCSI.2003.808892

R. Del-rio, F. Medeiro, B. Perez-verdu, A. Rodriguez, and . Vazquez, Reliable analysis of settling errors in SC integrators-application to the design of high-speed ???? modulators, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353), pp.417-420, 2000.
DOI : 10.1109/ISCAS.2000.858777

W. M. Koe and J. Zhang, Understanding the effect of circuit non-idealities on sigma-delta modulator, IEEE International Workshop on Behavioral Modeling and Simulation, pp.6-8, 2002.

L. Jian-ming, D. Xiao-wu, Z. Xue-cheng, and Z. Zhi-ge, Modeling non-idealities of sigma delta ADC in simulink, International Conference on Communications, Circuits and Systems, pp.25-27, 2008.

A. Fornasari, P. Malcovati, and F. Maloberti, Improved modeling of sigma-delta modulator nonidealities in SIMULINK, IEEE International Symposium on Circuits and Systems, pp.5982-5985, 2005.

H. Zare-hoseini, I. Kale, and O. Shoaei, Modeling of Switched-Capacitor Delta???Sigma Modulators in SIMULINK, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.4, pp.1646-1654, 2005.
DOI : 10.1109/TIM.2005.851085

J. Ruiz-amaya, M. Delgado-restituto, A. Rodriguez, and . Vazquez, Accurate Settling-Time Modeling and Design Procedures for Two-Stage Miller-Compensated Amplifiers for Switched-Capacitor Circuits, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.56, issue.6, pp.1077-1087, 2009.
DOI : 10.1109/TCSI.2008.2008509

A. Pugliese, F. A. Amoroso, G. Cappuccino, and G. Cocorullo, Analysis of the Impact of High-Order Integrator Dynamics on SC Sigma-Delta Modulator Performances, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.57, issue.3, pp.618-630, 2010.
DOI : 10.1109/TCSI.2009.2023946

G. R. Boyle, D. O. Pederson, B. M. Cohn, and J. E. Solomon, Macromodeling of integrated circuit operational amplifiers, IEEE Journal of Solid-State Circuits, vol.9, issue.6, pp.353-364, 1974.
DOI : 10.1109/JSSC.1974.1050528

G. J. Gomez, S. H. Embabi, E. Sanchez-sinencio, and M. Lefebvre, A nonlinear macromodel for CMOS OTAs, Proceedings of ISCAS'95, International Symposium on Circuits and Systems, pp.920-923, 1995.
DOI : 10.1109/ISCAS.1995.519915

H. Zhang and A. Doboli, Fast time-domain symbolic simulation for synthesis of sigma-delta analogdigital converters, International Symposium on Circuits and Systems, pp.125-128, 2004.

G. T. Brauns, R. J. Bishop, M. B. Steer, J. J. Paulos, and S. Houston-ardalan, Table-based modeling of delta-sigma modulators using ZSIM, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.9, issue.2, pp.142-150, 1990.
DOI : 10.1109/43.46780

G. Yu and P. Li, Look-up table based simulation and statistical modeling of sigma-delta ADCs, 43rd ACM/IEEE Design Automation Conference, pp.1035-1040, 2006.

M. Hasan, H. P. Shen, D. R. Allee, and M. Pennell, A behavioral model of a 1.8-V flash A/D converter based on device parameters, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.1, pp.69-82, 2000.
DOI : 10.1109/43.822621

H. J. Kadim and D. M. Harvey, Estimation of parameter fluctuations for robust operation in analogue circuits and systems, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353), pp.741-744, 2000.
DOI : 10.1109/ISCAS.2000.856435

J. K. Fidler and C. Nightingale, Differential-incremental-sensitivity relationships, Electronics Letters, vol.8, issue.25, pp.626-627, 1972.
DOI : 10.1049/el:19720453

N. B. Hamida and B. Kaminska, Analog circuit testing based on sensitivity computation and new circuit modeling, Proceedings of IEEE International Test Conference, (ITC), pp.17-21, 1993.
DOI : 10.1109/TEST.1993.470638

H. Yoon, P. Variyam, A. Chatterjee, and N. Nagi, Hierarchical statistical inference model for specification based testing of analog circuits, 16th IEEE VLSI Test Symposium, pp.26-30, 1998.

T. Koskinen and P. Y. Cheung, Statistical and behavioural modelling of analogue integrated circuits, IEE Proceedings G Circuits, Devices and Systems, vol.140, issue.3, pp.171-176, 1993.
DOI : 10.1049/ip-g-2.1993.0027

T. Koskinen and P. Y. Cheung, Hierarchical tolerance analysis using statistical behavioral models, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.15, issue.5, pp.506-516, 1996.
DOI : 10.1109/43.506138

C. M. Kurker, J. J. Paulos, R. S. Gyurcsik, and J. Lu, Hierarchical Yield Estimation Of Large Analog Integrated Circuits, Proceedings of the IEEE Custom Integrated Circuits Conference, pp.203-209, 1993.
DOI : 10.1109/CICC.1992.589964

F. Liu and S. Ozev, Hierarchical analysis of process variation for mixed-signal systems, Proceedings of the 2005 conference on Asia South Pacific design automation , ASP-DAC '05, pp.465-470, 2005.
DOI : 10.1145/1120725.1120914

F. Liu, J. J. Flomenberg, D. V. Yasaratne, and S. Ozev, Hierarchical variance analysis for analog circuits based on graph modelling and correlation loop tracing, Design, Automation and Test in Europe, pp.126-131, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00181505

J. F. Swidzinski and K. Chang, Nonlinear statistical modeling and yield estimation technique for use in Monte Carlo simulations [microwave devices and ICs], IEEE Transactions on Microwave Theory and Techniques, vol.48, issue.12, pp.2316-2324, 2000.
DOI : 10.1109/22.898980

A. A. Mutlu and M. Rahman, Statistical methods for the estimation of process variation effects on circuit operation, IEEE Transactions on Electronics Packaging Manufacturing, vol.28, issue.4, pp.364-375, 2005.
DOI : 10.1109/TEPM.2005.856534

A. Bounceur, S. Mir, E. Simeu, and L. Rolindez, Estimation of Test Metrics for the Optimisation of Analogue Circuit Testing, Journal of Electronic Testing, vol.15, issue.10, pp.471-484, 2007.
DOI : 10.1007/s10836-007-5006-6

URL : https://hal.archives-ouvertes.fr/hal-00522014

C. Wegener and M. P. Kennedy, Test Development Through Defect and Test Escape Level Estimation for Data Converters, Journal of Electronic Testing, vol.51, issue.1, pp.4-6, 2006.
DOI : 10.1007/s10836-006-9457-y

J. Tongbong, S. Mir, and J. L. Carbonero, Evaluation of test measures for LNA production testing using a multinormal statistical model, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007.
DOI : 10.1109/DATE.2007.364682

URL : https://hal.archives-ouvertes.fr/hal-00156240

B. W. Silverman, Density Estimation for Statistics and Data Analysis, 1986.
DOI : 10.1007/978-1-4899-3324-9

H. Stratigopoulos, J. Tongbong, and S. Mir, A general method to evaluate RF BIST techniques based on non-parametric density estimation, Design, Automation and Test in Europe, pp.68-73, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00288591

T. Mcconaghy, P. Palmers, M. Steyaert, and G. Gielen, Trustworthy Genetic Programming-Based Synthesis of Analog Circuit Topologies Using Hierarchical Domain-Specific Building Blocks, IEEE Transactions on Evolutionary Computation, vol.15, issue.4, 2011.
DOI : 10.1109/TEVC.2010.2093581

L. Milor and V. Visvanathan, Detection of catastrophic faults in analog integrated circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.8, issue.2, pp.114-130, 1989.
DOI : 10.1109/43.21830

P. Lu, D. Glaser, G. Uygur, S. Weichslgartner, K. Helmreich et al., Mixed-signal test development using open standard modeling and description languages, 2009 IEEE Behavioral Modeling and Simulation Workshop, pp.78-83, 2009.
DOI : 10.1109/BMAS.2009.5338885

M. Rona, G. Krampl, and F. Raczkowski, Automating the device interface board modeling for virtual test, The Eighth IEEE European Test Workshop, 2003. Proceedings., pp.71-76, 2003.
DOI : 10.1109/ETW.2003.1231671

A. Abdennadher and S. A. Shaikh, Practices in Mixed-Signal and RF IC Testing, IEEE Design & Test of Computers, vol.24, issue.4, pp.332-339, 2007.
DOI : 10.1109/MDT.2007.143

M. Mahoney and D. , Based Testing of Analog and Mixed-Signal Circuits, 1987.

A. K. Majhi and V. D. , Mixed-signal test, Proceedings Eleventh International Conference on VLSI Design, pp.285-288, 1998.
DOI : 10.1109/ICVD.1998.646619

G. W. Roberts and S. Aouini, Mixed-Signal Production Test: A Measurement Principle Perspective, IEEE Design & Test of Computers, vol.26, issue.5, pp.48-62, 2009.
DOI : 10.1109/MDT.2009.117

H. H. Liu and M. Soma, Fault diagnosis for analog integrated circuits based on the circuit layout, [1991] Proceedings Pacific Rim International Symposium on Fault Tolerant Systems, pp.134-139, 1991.
DOI : 10.1109/RFTS.1991.212953

A. Zjajo and J. P. De-gyvez, Analog Automatic Test Pattern Generation for Quasi-Static Structural Test, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1383-1391, 2009.
DOI : 10.1109/TVLSI.2008.2003517

F. Liu and S. Ozev, Statistical test development for analog circuits under high process variations, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.8, pp.1465-1477, 2007.

M. Soma, Challenges in analog and mixed-signal fault models, IEEE Circuits and Devices Magazine, vol.12, issue.1, pp.16-19, 1996.
DOI : 10.1109/101.481204

S. Mir, A. Rueda, T. Olbrich, E. Peralias, and J. L. Huertas, SWITTEST, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.281-286, 1997.
DOI : 10.1145/266021.266099

URL : https://hal.archives-ouvertes.fr/hal-00005879

K. Saab, N. Ben-hamida, and B. Kaminska, Parametric fault simulation and test vector generation, Design, Automation and Test in Europe Conference, pp.650-656, 2000.
DOI : 10.1145/343647.343883

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.13.2652

P. N. Variyam, S. Cherubal, and A. Chatterjee, Prediction of analog performance parameters using fast transient testing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.21, issue.3, pp.349-361, 2002.
DOI : 10.1109/43.986428

T. W. Williams and N. C. Brown, Defect Level as a Function of Fault Coverage, IEEE Transactions on Computers, vol.30, issue.12, pp.987-988, 1981.
DOI : 10.1109/TC.1981.1675742

T. Olbrich, J. Perez, I. A. Grout, A. M. Richardson, and C. Ferrer, Defect-oriented vs schematiclevel based fault simulation for mixed-signal ICs, International Test Conference, pp.511-520, 1996.

L. Milor, A tutorial introduction to research on analog and mixed-signal circuit testing, IEEE Transactions on Circuits and Systems-II : Analog and Digital Signal Processing, pp.1389-1407, 1998.
DOI : 10.1109/82.728852

M. Slamani and B. Kaminska, Testing analog circuits by sensitivity computation, [1992] Proceedings The European Conference on Design Automation, pp.532-537, 1992.
DOI : 10.1109/EDAC.1992.205993

J. Parky, S. Madhavapeddiz, A. Paglieri, C. Barrz, and J. A. Abraham, Defect-based analog fault coverage analysis using mixed-mode fault simulation, 2009 IEEE 15th International Mixed-Signals, Sensors, and Systems Test Workshop, pp.1-6, 2009.
DOI : 10.1109/IMS3TW.2009.5158688

F. Liu and S. Ozev, Efficient simulation of parametric faults for multi-stage analog circuits, IEEE International Test Conference, oct, pp.1-9, 2007.

A. Khouas and A. Derieux, FDP: fault detection probability function for analog circuits, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196), pp.17-20, 2001.
DOI : 10.1109/ISCAS.2001.922157

A. Abderrahman, M. Sawan, Y. Savaria, and A. Khouas, New Analog Test Metrics Based on Probabilistic and Deterministic Combination Approaches, 2007 14th IEEE International Conference on Electronics, Circuits and Systems, pp.11-14, 2007.
DOI : 10.1109/ICECS.2007.4510936

K. Arabi and B. Kaminska, Parametric and catastrophic fault coverage of analog circuits in oscillationtest methodology, 15th IEEE VLSI Test Symposium, pp.166-171, 1997.

M. Slamani and K. Arabi, Reducing test time in the high-volume production of analog circuits using efficient test-vector generation and interpolation techniques, Journal of Electronic Testing, vol.17, issue.5, pp.417-425, 2001.
DOI : 10.1023/A:1012755219655

A. Akkouche, S. Bounceur, E. Mir, and . Simeu, Minimization of functional tests by statistical modelling of analogue circuits, 2007 International Conference on Design & Technology of Integrated Systems in Nanoscale Era, pp.2-5, 2007.
DOI : 10.1109/DTIS.2007.4449488

URL : https://hal.archives-ouvertes.fr/hal-00202091

L. Milor and A. L. Sangiovanni-vincentelli, Minimizing production test time to detect faults in analog circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.13, issue.6, pp.796-813, 1994.
DOI : 10.1109/43.285252

S. Akkouche, E. Mir, and . Simeu, Ordering of analog specification tests based on parametric defect level estimation, 2010 28th VLSI Test Symposium (VTS), pp.301-306, 2010.
DOI : 10.1109/VTS.2010.5469546

URL : https://hal.archives-ouvertes.fr/hal-00517680

J. Tongbong, L. Abdallah, S. Mir, and H. Stratigopoulos, Evaluation of built-in sensors for RF LNA response measurement, 2010 IEEE 16th International Mixed-Signals, Sensors and Systems Test Workshop (IMS3TW), pp.1-6, 2010.
DOI : 10.1109/IMS3TW.2010.5502996

URL : https://hal.archives-ouvertes.fr/hal-00557003

A. Richardson, A. Lechner, and T. Olbrich, Design for testability strategies for mixed signal & analogue designs-from layout to system, 1998 IEEE International Conference on Electronics, Circuits and Systems. Surfing the Waves of Science and Technology (Cat. No.98EX196), pp.425-432, 1998.
DOI : 10.1109/ICECS.1998.814914

J. A. Prieto, A. Rueda, I. Grout, E. Peralias, J. L. Huertas et al., An approach to realistic fault prediction and layout design for testability in analog circuits, Proceedings Design, Automation and Test in Europe, pp.905-909, 1998.
DOI : 10.1109/DATE.1998.655965

M. Corrado, S. Rapuano, and J. Saliga, An overview of different signal sources for histogram based testing of ADCs, Measurement, vol.43, issue.7, pp.878-886, 2010.
DOI : 10.1016/j.measurement.2010.04.002

B. Provost and E. Sanchez-sinencio, Auto-calibrating analog timer for on-chip testing, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034), pp.541-548, 1999.
DOI : 10.1109/TEST.1999.805778

E. S. Erdogan and S. Ozev, An ADC-BiST Scheme Using Sequential Code Analysis, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007.
DOI : 10.1109/DATE.2007.364679

F. Azais, S. Bernard, Y. Bertrand, X. Michel, and M. , A low-cost adaptive ramp generator for analog BIST applications, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001, pp.266-271, 2001.
DOI : 10.1109/VTS.2001.923449

W. Lee, Y. Liao, J. Hsu, Y. Hwang, and J. Chen, A high precision ramp generator for low cost adc test, 9th International Conference on Solid-State and Integrated-Circuit Technology, pp.2103-2106, 2008.

B. Provost and E. Sanchez-sinencio, On-chip ramp generators for mixed-signal BIST and ADC self-test, IEEE Journal of Solid-State Circuits, vol.38, issue.2, pp.263-273, 2003.
DOI : 10.1109/JSSC.2002.807415

B. Provost and E. Sanchez-sinencio, A Practical Self-Calibration Scheme Implementation for Pipeline ADC, IEEE Transactions on Instrumentation and Measurement, vol.53, issue.2, pp.448-456, 2004.
DOI : 10.1109/TIM.2004.823317

F. Alegria, P. Arpaia, P. Daponte, and A. Serra, An ADC histogram test based on small-amplitude waves, Measurement, vol.31, issue.4, pp.271-279, 2002.
DOI : 10.1016/S0263-2241(01)00043-4

F. Alegria, P. Arpaia, A. M. Da, P. Cruz-serra, and . Daponte, Performance analysis of an ADC histogram test using small triangular waves, IEEE Transactions on Instrumentation and Measurement, vol.51, issue.4, pp.723-729, 2002.
DOI : 10.1109/TIM.2002.803292

J. Blair, Histogram measurement of ADC nonlinearities using sine waves, IEEE Transactions on Instrumentation and Measurement, vol.43, issue.3, pp.373-383, 1994.
DOI : 10.1109/19.293454

S. Bernard, F. Azais, Y. Bertrand, and M. , A high accuracy triangle-wave signal generator for on-chip ADC testing, Proceedings The Seventh IEEE European Test Workshop, pp.89-94, 2002.
DOI : 10.1109/ETW.2002.1029644

URL : https://hal.archives-ouvertes.fr/lirmm-00268483

J. Duan, D. Chen, and R. Geiger, Phase control of triangular stimulus generator for ADC BIST, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp.1935-1938, 2010.
DOI : 10.1109/ISCAS.2010.5538029

K. Parthasarathy, T. Kuyel, D. Price, L. Jin, D. Chen et al., BIST and production testing of ADCs using imprecise stimulus, ACM Transactions on Design Automation of Electronic Systems, vol.8, issue.4, pp.522-545, 2003.
DOI : 10.1145/944027.944035

L. Jin, K. Parthasarathy, T. Kuyel, R. Geiger, and D. Chen, High-performance ADC linearity test using low-precision signal in non-stationary environments, IEEE International Test Conference, p.p. tbd, 2005.

L. Jin, K. Parthasarathy, T. Kuyel, D. Chen, and R. Geiger, Accurate Testing of Analog-to-Digital Converters Using Low Linearity Signals With Stimulus Error Identification and Removal, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.3, pp.1188-1199, 2005.
DOI : 10.1109/TIM.2005.847240

E. Korhonen, J. Hakkinen, and J. Kostamovaara, A Robust Algorithm to Identify the Test Stimulus in Histogram-Based A/D Converter Testing, IEEE Transactions on Instrumentation and Measurement, pp.2369-2374, 2007.
DOI : 10.1109/TIM.2007.908133

E. Korhonen and J. Kostamovaara, An improved algorithm to identify the test stimulus in histogrambased A/D converter testing, 13th European Test Symposium, pp.149-154, 2008.

E. Korhonen, C. Wegener, and J. Kostamovaara, Combining the Standard Histogram Method and a Stimulus Identification Algorithm for A/D Converter INL Testing With a Low-Quality Sine Wave Stimulus, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.57, issue.6, pp.1166-1174, 2010.
DOI : 10.1109/TCSI.2009.2030096

M. Renovell, F. Azais, S. Bernard, and Y. Bertrand, Hardware resource minimization for histogrambased ADC BIST, 18th IEEE VLSI Test Symposium, pp.247-252, 2000.
DOI : 10.1109/vtest.2000.843852

F. Azais, S. Bernard, Y. Bertrand, and M. , Implementation of a linear histogram BIST for ADCs, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, pp.590-595, 2001.
DOI : 10.1109/DATE.2001.915083

J. Ren, J. Feng, and H. Ye, A novel linear histogram BIST for ADC, 9th International Conference on Solid-State and Integrated-Circuit Technology, pp.2099-2102, 2008.

C. Wegener and M. P. Kennedy, Linear Model-Based Testing of ADC Nonlinearities, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol.51, issue.1, pp.213-217, 2004.
DOI : 10.1109/TCSI.2003.821281

Z. Yu, D. Chen, R. Geiger, and Y. Papantonopoulos, Pipeline ADC linearity testing with dramatically reduced data capture time, IEEE International Symposium on Circuits and Systems, pp.792-795, 2005.

H. Xing, D. Chen, R. Geiger, and J. Le, System identification-based reduced-code testing for pipeline ADCs linearity test, IEEE International Symposium on Circuits and Systems, pp.2402-2405, 2008.

J. Lin, T. Kung, and S. Chang, A Reduced Code Linearity Test Method for Pipelined A/D Converters, 2008 17th Asian Test Symposium, pp.111-116, 2008.
DOI : 10.1109/ATS.2008.53

A. K. Lu, G. W. Roberts, and D. A. Johns, A high-quality analog oscillator using oversampling D/A conversion techniques, IEEE International Symposium on Circuits and Systems, pp.1298-1301, 1993.

M. F. Toner and G. W. Roberts, A BIST scheme for an SNR test of a sigma-delta ADC, Proceedings of IEEE International Test Conference, (ITC), pp.805-814, 1993.
DOI : 10.1109/TEST.1993.470621

H. Shin, B. Kim, and J. A. Abraham, Spectral Prediction for Specification-Based Loopback Test of Embedded Mixed-Signal Circuits, 24th IEEE VLSI Test Symposium, p.419, 2006.
DOI : 10.1007/s10836-009-5136-0

X. Huang and J. Huang, ADC/DAC Loopback Linearity Testing by DAC Output Offsetting and Scaling, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1-10, 2010.
DOI : 10.1109/TVLSI.2010.2063443

V. Kerzerho, F. Azais, M. Comte, P. Cauvet, S. Bernard et al., ANC-based method for testing converters with random-phase harmonics, 2010 IEEE 16th International Mixed-Signals, Sensors and Systems Test Workshop (IMS3TW), pp.1-5, 2010.
DOI : 10.1109/IMS3TW.2010.5503009

URL : https://hal.archives-ouvertes.fr/lirmm-00494578

J. Park, H. Shin, and J. A. Abraham, Parallel Loopback Test of Mixed-Signal Circuits, 26th IEEE VLSI Test Symposium (vts 2008), pp.309-316, 2008.
DOI : 10.1109/VTS.2008.53

E. Korhonen and J. Kostamovaara, A loopback-based INL test method for D/A and A/D converters employing a stimulus identification technique, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.1650-1655, 2009.
DOI : 10.1109/DATE.2009.5090928

A. Roy, S. Sunter, A. Fudoli, and D. Appello, High accuracy stimulus generation for A/D converter BIST, Proceedings. International Test Conference, pp.1031-1039, 2002.
DOI : 10.1109/TEST.2002.1041859

S. Sunter, N. Nagi, D. , and A. Bist, A simplified polynomial-fitting algorithm for, IEEE International Test Conference, pp.389-395, 1997.

S. Goyal, A. Chatterjee, and M. Purtell, A Low-Cost Test Methodology for Dynamic Specification Testing of High-Speed Data Converters, Journal of Electronic Testing, vol.43, issue.2, pp.95-106, 2007.
DOI : 10.1007/s10836-006-9523-5

B. Kim, H. Shin, J. Chun, and J. A. Abraham, Predicting mixed-signal dynamic performance using optimised signature-based alternate test, IET Computers & Digital Techniques, vol.1, issue.3, pp.159-169, 2007.
DOI : 10.1049/iet-cdt:20060154

H. Yu, H. Shin, J. H. Chun, and J. A. Abraham, Performance characterization of mixed-signal circuits using a ternary signal representation, International Test Conference, oct, pp.1389-1397, 2004.

M. Keramat and R. Kielbasa, A study of stratified sampling in variance reduction techniques for parametric yield estimation, IEEE Transactions on Circuits and Systems II : Analog and Digital Signal Processing, pp.575-583, 1998.

M. Dessouky, A. Kaiser, M. Louerai, and A. Greiner, Analog design for reuse-case study : very low-voltage delta ; sigma ; modulator, Design, Automation and Test in Europe, pp.353-360, 2001.

R. Schreier and G. C. Temes, Understanding Delta-Sigma Data Converters, 2004.
DOI : 10.1002/9781119258308

S. R. Norsworthy, R. Schreier, and G. C. , Temes, Delta-Sigma Data Converters : Theory, Design, and Simulation, Number p19, 1997.
DOI : 10.1109/9780470544358

B. Dufort and G. W. Roberts, Signal generation using periodic single and multi-bit sigma-delta modulated streams, Proceedings International Test Conference 1997, pp.396-405, 1997.
DOI : 10.1109/TEST.1997.639642

B. Dufort and G. W. Roberts, On-chip analog signal generation for mixed-signal built-in self-test, IEEE Journal of Solid-State Circuits, vol.34, issue.3, pp.318-348, 1999.
DOI : 10.1109/4.748183

C. Ong, K. Cheng, and L. Wang, A New Sigma???Delta Modulator Architecture for Testing Using Digital Stimulus, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol.51, issue.1, pp.206-213, 2004.
DOI : 10.1109/TCSI.2003.821305

H. Hong, A design-for-digital-testability circuit structure for ?? modulators, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, dec, pp.1341-1350, 2007.

H. Hong and S. Liang, A Decorrelating Design-for-Digital-Testability Scheme for <formula formulatype="inline"><tex>$\Sigma{-}\Delta$</tex></formula> Modulators, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.56, issue.1, pp.60-73, 2009.
DOI : 10.1109/TCSI.2008.926986

H. Mattes, S. Sattler, and C. Dworski, Controlled sine wave fitting for ADC test, 2004 International Conferce on Test, pp.963-971, 2004.
DOI : 10.1109/TEST.2004.1387361

L. Rolindez, Technique d'auto test pour des convertisseurs de signal sigma-delta, Phd thesis in micro et nano electronics, 2007.

H. Hong, F. Su, and S. Hung, A fully integrated built-in self-test ?? ADC based on the modified controlled sine-wave fitting procedure, IEEE Transactions on Instrumentation and Measurement, issue.99, pp.1-11, 2010.

N. Chouba and L. Bouzaida, A BIST architecture for sigma delta ADC testing based on embedded NOEB Self-Test and CORDIC algorithm, 5th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, pp.1-7, 2010.
DOI : 10.1109/DTIS.2010.5487558

G. Leger and A. Rueda, Digital test for the extraction of integrator leakage in 1st and 2nd order ?? modulators, IEE Proceedings on Circuits, Devices and Systems, pp.349-358, 2004.

G. Leger and A. Rueda, Simple BIST for integrator leak in second-order double-loop ?? modulators, International Mixed-Signal Test Workshop, pp.53-57, 2003.

G. Leger and A. Rueda, Low-Cost Digital Detection of Parametric Faults in Cascaded <formula formulatype="inline"><tex Notation="TeX">$\Sigma\Delta$</tex> </formula> Modulators, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.56, issue.7, pp.1326-1338, 2009.
DOI : 10.1109/TCSI.2008.2006648

S. Mir, L. Rolindez, C. Domigues, and L. Rufer, An implementation of memory-based on-chip analogue test signal generation, Asia and South Pacific Design Automation Conference, pp.663-668, 2003.
URL : https://hal.archives-ouvertes.fr/hal-00012867

B. E. Boser and B. A. Wooley, The design of sigma-delta modulation analog-to-digital converters, IEEE Journal of Solid-State Circuits, vol.23, issue.6, pp.1298-1308, 1988.
DOI : 10.1109/4.90025

S. Rabii and B. A. Wooley, A 1.8-V digital-audio sigma-delta modulator in 0.8-??m CMOS, IEEE Journal of Solid-State Circuits, vol.32, issue.6, pp.783-796, 1997.
DOI : 10.1109/4.585245

URL : https://hal.archives-ouvertes.fr/hal-00245594

R. Castello and P. R. Gray, A high-performance micropower switched-capacitor filter, IEEE Journal of Solid-State Circuits, vol.20, issue.6, pp.1122-1132, 1985.
DOI : 10.1109/JSSC.1985.1052449

E. Nunzi, P. Carbone, and D. Petri, Estimation of the in-band delta-sigma noise power based on windowed data, Proceedings of the 20th IEEE Instrumentation Technology Conference (Cat. No.03CH37412), pp.2221-2226, 2006.
DOI : 10.1109/IMTC.2003.1208158

I. Motorola, SPI Block Guide v03, 2003.

I. Mansouri, Réalisation d'un démonstrateur BIST pour un convertisseur analogique-numérique sigma-delta, 2007.

A. Devices, AD5231 -Data Sheet Rev. C, " 2007, Non-Volatile Memory, 1024-Position Digital Potentiometer

M. Marwen-ben, Réalisation d'un démonstrateur BIST pour un convertisseur analogiquenumérique sigma-delta, Institut National des Sciences Appliquées et de Technologie -Université du 7 novembre, 2009.

. Inc and . Xilinx, Spartan3 -fpag family complete data sheet rev. 2.2, 2007.

A. Bounceur and S. Mir, Estimation of test metrics for AMS/RF BIST using copulas, 2008 IEEE 14th International Mixed-Signals, Sensors, and Systems Test Workshop, 2008.
DOI : 10.1109/IMS3TW.2008.4581615

URL : https://hal.archives-ouvertes.fr/hal-00521995

N. Nagi and J. A. Abraham, Hierarchical fault modeling for analog and mixed-signal circuits, Digest of Papers. 1992 IEEE VLSI Test Symposium, pp.96-101, 1992.
DOI : 10.1109/VTEST.1992.232731

Y. Huang, C. Lee, J. Lin, J. Chen, and C. Su, A methodology for fault model development for hierarchical linear systems, Asian Test Symposium, pp.90-95, 2000.

.. Exemple-d-'un-défaut-identique-ayant-un-impact-différent-sur-le-fonctionnement-du-circuit, Interrupteur constitué par (a) un transistor simple et (b) des transistors en parallèle, p.39

?. Modulateur and .. , ordre 3 avec une source de bruit, p.96

S. Calcul-du and .. , un train binaire de longueur N=2274 bits en fonction de (a) l'amplitude de la source de bruit ajoutée dans le modulateur générateur 5.14 et (b) l'amplitude du signal d'entrée avec et sans dither, p.97

.. Diagramme-de-bode, la magnitude et (b) de la phase du gain en boucle ouverte résultant d'une simulation nominal de l'AOP en boucle ouverte et intégré dans le banc de simulation avec une boucle de contre-réaction filtrée, p.120

M. Carlo and .. , Tension de sortie différentielle V dif f = V outp ?V outn et (b) performances correspondantes de l'AOP inclus dans la boucle de contre-réaction filtrée pour 100 itérations, p.120

C. Du and S. , un large échantillon de circuits pour un signal binaire (a) d'amplitude équivalente à ?12dBF S spec et (c) à ?6dBF S spec et pour un signal ternaire (b) d'amplitude équivalente à ?12dBF S spec et (d) à ?3dBF S spec, p.129

. Perte-de-rendement-et-taux-de-défauts-résultant-d, un test unique sur l'échantillon bruit prédominant à partir d'un stimulus numérique (a) binaire et (b) ternaire d'amplitude A eq = ?8dBF S spec , et sur l'échantillon distorsion prédominante à partir d'un stimulus numérique (c) binaire et (d) ternaire d'amplitude A eq = ?8dBF S spec pour une tension d'injection atténuée V bist = 1, 4V, p.133

A. Du-calibrage, Association actuelle de la conception automatisée des circuits analogiques et mixtes avec la conception en vue du test et (b) conception en vue du test et, p.153