F. Poehl, F. Demmerle, J. Alt, and H. Obermeir, Production test challenges for highly integrated mobile phone SOCs ? A case study, IEEE European Test Symposium (ETS), pp.17-22

R. Mittal, A. Sontakke, and R. Parekhji, Test time reduction using parallel RF test techniques, 2010 28th VLSI Test Symposium (VTS), p.40, 2010.
DOI : 10.1109/VTS.2010.5469623

A. Paganini, M. Slamani, and J. Hanyi-ding-;-ferrario, Nanju NaCost-competitive RF wafer test methodology for high volume production of complex RF ICs, Electronic Components and Technology Conference (ECTC), pp.1256-1264, 2008.

B. R. Veillette and G. W. Roberts, High frequency sinusoidal generation using delta-sigma modulation techniques, Proceedings of ISCAS'95, International Symposium on Circuits and Systems, pp.637-640, 1995.
DOI : 10.1109/ISCAS.1995.521594

J. Keyzer, J. Hinrichs, A. Metzger, M. Iwamoto, I. Galton et al., Digital generation of RF signals for wireless communications with band-pass delta-sigma modulation, 2001 IEEE MTT-S International Microwave Sympsoium Digest (Cat. No.01CH37157), pp.2127-2130, 2001.
DOI : 10.1109/MWSYM.2001.967334

M. Negreiros, L. Carro, and A. A. Susin, Digital Generation of Signals for Low Cost RF BIST, 12th IEEE European Test Symposium (ETS'07), pp.49-54, 2007.
DOI : 10.1109/ETS.2007.18

M. Negreiros, A. Souza, L. Carro, and A. A. Susin, RF Digital Signal Generation Beyond Nyquist, 25th IEEE VLSI Test Symmposium (VTS'07), pp.15-22, 2007.
DOI : 10.1109/VTS.2007.54

A. Banerjee, S. Kumar-devarakond, V. Natarajan, S. Sen, and A. Chatterjee, Optimized digital compatible pulse sequences for testing of RF front end modules, 2010 IEEE 16th International Mixed-Signals, Sensors and Systems Test Workshop (IMS3TW), pp.1-6, 2010.
DOI : 10.1109/IMS3TW.2010.5502991

R. Ramzan, N. Ahsan, and J. Dabrowski, On-Chip Stimulus Generator for Gain, Linearity, and Blocking Profile Test of Wideband RF Front Ends, IEEE Transactions on Instrumentation and Measurement, vol.59, issue.11, pp.2870-2876, 2010.
DOI : 10.1109/TIM.2009.2036454

Q. Yin, W. R. Eisenstadt, and R. M. Fox, A Translinear RMS Detector for Embedded Test Of RF ICs, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.5, pp.1708-1714, 2005.
DOI : 10.1109/TIM.2005.855105

A. Valdes-garcia, R. Venkatasubramanian, R. Srinivasan, J. Silva-martinez, and E. Sanchez-sinencio, A CMOS RF RMS Detector for Built-in Testing of Wireless Transceivers, 23rd IEEE VLSI Test Symposium (VTS'05), pp.249-254, 2005.
DOI : 10.1109/VTS.2005.8

J. Kim, B. C. Sylla, and I. , A new low-cost RF built-in self-test measurement for system-on-chip transceivers, IEEE Transactions on Instrumentation and Measurement, vol.55, issue.2, pp.381-388, 2006.

A. Valdes-garcia, R. Venkatasubramanian, J. Silva-martinez, and E. Sanchez-sinencio, A Broadband CMOS Amplitude Detector for On-Chip RF Measurements, IEEE Transactions on Instrumentation and Measurement, vol.57, issue.7, pp.1470-1477, 2008.
DOI : 10.1109/TIM.2008.917196

C. Zhang, R. Gharpurey, and J. A. Abraham, Low Cost RF Receiver Parameter Measurement with On-Chip Amplitude Detectors, 26th IEEE VLSI Test Symposium (vts 2008), pp.203-208, 2008.
DOI : 10.1109/VTS.2008.56

S. B. Sleiman and M. Ismail, A CMOS amplitude detector for RF-BIST and calibration, 2009 16th IEEE International Conference on Electronics, Circuits and Systems, (ICECS 2009), pp.807-810
DOI : 10.1109/ICECS.2009.5410778

M. J. Barragan, R. Fiorelli, D. Vazquez, A. Rueda, and J. L. Huertas, A BIST Solution for the Functional Characterization of RF Systems Based on Envelope Response Analysis, 2009 Asian Test Symposium, pp.255-260, 2009.
DOI : 10.1109/ATS.2009.14

M. Barrag-n, R. Fiorelli, D. Zquez, A. Rueda, and J. L. Huertas, Low-cost signature test of RF blocks based on envelope response analysis, IEEE European Test Symposium (ETS), pp.55-60, 2010.

Y. Lu, A Low-Noise Amplifier with Integrated Current and Power Sensors for RF BIST Applications, IEEE VLSI Test Symposium (VTS), pp.401-408, 2007.

S. Fu, An integrated linear RF power detector, International Symposium on Circuits and Systems (ISCAS), pp.23-26, 2004.

Q. Wang and M. Soma, RF Front-end System Gain and Linearity Built-in Test, 24th IEEE VLSI Test Symposium, p.233, 2006.
DOI : 10.1109/VTS.2006.59

M. Negreiros, L. Carro, and A. A. Susin, Ultra low cost analog BIST using spectral analysis, Proceedings. 21st VLSI Test Symposium, 2003., pp.77-82, 2003.
DOI : 10.1109/VTEST.2003.1197636

M. Negreiros, L. Carro, and A. A. Susin, Low cost analogue testing of RF signal paths, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.292-297, 2004.
DOI : 10.1109/DATE.2004.1268863

R. Bogdan and S. , Imran Bashir; Oren EliezerRF Built-in Self Test of a Wireless Transmitter, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.54, issue.2, pp.186-190, 2007.

D. Webster, R. Hudgens, L. Phan, O. Eliezer, and D. Y. Lie, A novel RF phase error Built-in-Self-Test for GSM, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology, pp.2075-2078, 2008.
DOI : 10.1109/ICSICT.2008.4734985

D. Lupea, U. Pursche, and H. Jentschel, RF-BIST: loopback spectral signature analysis, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.478-483, 2003.
DOI : 10.1109/DATE.2003.1253655

M. Negreiros, L. Carro, and A. A. Susin, An Improved RF Loopback for Test Time Reduction, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006.
DOI : 10.1109/DATE.2006.244012

J. Dabrowski, Lookback BiST for RF front-ends in digital transceivers, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748), pp.143-146, 2003.
DOI : 10.1109/ISSOC.2003.1267738

J. Yoon and W. R. Eisenstadt, Embedded Loopback Test for RF ICs, IEEE Transactions on Instrumentation and Measurement, vol.54, issue.5, pp.1715-1720, 2005.
DOI : 10.1109/TIM.2005.855091

M. Onabajo, J. Silva-martinez, F. Fernandez, and E. Sanchez-sinencio, An On-Chip Loopback Block for RF Transceiver Built-In Test, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.56, issue.6, pp.444-448, 2009.
DOI : 10.1109/TCSII.2009.2020940

J. J. Dabrowski and R. M. Ramzan, Built-in Loopback Test for IC RF Transceivers, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.933-946, 2010.
DOI : 10.1109/TVLSI.2009.2019085

P. N. Variyam and A. Chatterjee, Enhancing test effectiveness for analog circuits using synthesized measurements, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231), pp.132-137, 1998.
DOI : 10.1109/VTEST.1998.670860

P. N. Variyam, S. Cherubal, and A. Chatterjee, Prediction of analog performance parameters using fast transient testing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.21, issue.3, pp.349-361, 2002.
DOI : 10.1109/43.986428

S. Bhattacharya and A. Chatterjee, Use of embedded sensors for built-in-test RF circuits, 2004 International Conferce on Test, pp.801-809, 2004.
DOI : 10.1109/TEST.2004.1387343

S. Bhattacharya and A. Chatterjee, A Built-In Loopback Test Methodology for RF Transceiver Circuits Using Embedded Sensor Circuits, 13th Asian Test Symposium, pp.68-73
DOI : 10.1109/ATS.2004.7

D. Han-;-chatterjee and A. , Robust Built-In Test of RF ICs Using Envelope Detectors, IEEE Asian Test Symposium (ATS), 2005.

S. S. Akbay and A. Chatterjee, Fault-based alternate test of RF components, 2007 25th International Conference on Computer Design, pp.518-525, 2007.
DOI : 10.1109/ICCD.2007.4601947

D. Han, S. Bhattacharya, and A. Chatterjee, Low-cost parametric test and diagnosis of RF systems using multi-tone response envelope detection, IET Computers & Digital Techniques, vol.1, issue.3, pp.170-179, 2007.
DOI : 10.1049/iet-cdt:20060145

S. Allard, Combining Internal Probing with Artificial Neural Networks for Optimal RFIC Testing, IEEE International Test Conference, pp.1-9, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00369455

L. Abdallah, H. Stratigopoulos, C. Kelma, and S. Mir, Sensors for built-in alternate RF test, 2010 15th IEEE European Test Symposium, pp.49-54, 2010.
DOI : 10.1109/ETSYM.2010.5512783

URL : https://hal.archives-ouvertes.fr/hal-00558886

A. Halder and A. Chatterjee, Low-cost alternate EVM test for wireless receiver systems, 23rd IEEE VLSI Test Symposium (VTS'05), pp.255-260, 2005.
DOI : 10.1109/VTS.2005.53

A. Halder and A. Chatterjee, Low-cost production testing of wireless transmitters, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06), pp.3-7, 2006.
DOI : 10.1109/VLSID.2006.113

S. Bhattacharya, G. Srinivasan, S. Cherubal, and A. Chatterjee, Test Time Reduction for ACPR Measurement of Wireless Transceivers Using Periodic Bit-Stream Sequences, Second IEEE International Workshop on Electronic Design, Test and Applications, pp.372-377, 2004.
DOI : 10.1109/DELTA.2004.10015

H. D. Stratigopoulos, P. Drineas, M. Slamani, and Y. Makris, Non-RF to RF Test Correlation Using Learning Machines: A Case Study, 25th IEEE VLSI Test Symmposium (VTS'07), pp.9-14, 2007.
DOI : 10.1109/VTS.2007.41

URL : https://hal.archives-ouvertes.fr/hal-00172277

H. Stratigopoulos and Y. Makris, Error Moderation in Low-Cost Machine-Learning-Based Analog/RF Testing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, issue.2, pp.339-351, 2008.
DOI : 10.1109/TCAD.2007.907232

URL : https://hal.archives-ouvertes.fr/hal-00348331

H. Stratigopoulos, S. Mir, E. Acar, and S. Ozev, Defect filter for alternate RF test, IEEE European Test Symposium (ETS), pp.265-270, 2010.
DOI : 10.1109/ets.2009.32

URL : https://hal.archives-ouvertes.fr/hal-00418402

S. S. Akbay, A. Halder, A. Chatterjee, and D. Keezer, Low-Cost Test of Embedded RF/Analog/Mixed-Signal Circuits inSOPs, IEEE Transactions on Advanced Packaging, vol.27, issue.2, pp.352-363, 2004.
DOI : 10.1109/TADVP.2004.828819

R. Voorakaranam, S. Cherubal, and A. Chatterjee, A signature test framework for rapid production testing of RF circuits, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.186-191, 2002.
DOI : 10.1109/DATE.2002.998268

J. Ferrario, R. Wolf, S. Moss, and M. Slamani, A low-cost test solution for wireless phone RFICs, IEEE Communications Magazine, vol.41, issue.9, pp.82-88, 2003.
DOI : 10.1109/MCOM.2003.1232241

D. Brown, J. Ferrario, R. Wolf, J. Li, and J. Bhagat, RF testing on a mixed signal tester, IEEE International Test Conference, pp.793-800, 2004.

C. C. Su, C. S. Chang, H. W. Huang, D. S. Tu, C. L. Lee et al., Dynamic Analog Testing via ATE Digital Test Channels, 13th Asian Test Symposium, pp.308-312, 2004.
DOI : 10.1109/ATS.2004.37

B. F. Logan, Information in the Zero Crossings of Bandpass Signals, Bell System Technical Journal, vol.56, issue.4, pp.487-510, 1977.
DOI : 10.1002/j.1538-7305.1977.tb00522.x

S. Watanabe, Y. Akimoto, T. Komatsu, and T. Saito, A new stabilized zero-crossing representation in the wavelet transform domain and signal reconstruction, Proceedings., International Conference on Image Processing, pp.37-40, 1995.
DOI : 10.1109/ICIP.1995.529033

J. Foster and T. Wang, Speech coding using time code modulation, IEEE Proceedings of the SOUTHEASTCON '91, pp.861-863, 1991.
DOI : 10.1109/SECON.1991.147882

G. H. Raz and M. Siegel, Modeling and simulation of FM detection by zero-crossing in the presence of noise and FH interference, IEEE Transactions on Broadcasting, vol.38, issue.3, pp.192-196, 1992.
DOI : 10.1109/11.158674

G. H. Raz, Modeling and simulation of FM detection by zero-crossing in the presence of noise and DS interference, Proceedings of Vehicular Technology Conference, VTC, pp.1409-1413
DOI : 10.1109/VETEC.1996.501545

N. Ismailoglu, Low-power design of a digital FM demodulator based on zero-cross detection at IF, Gateway to 21st Century Communications Village. VTC 1999-Fall. IEEE VTS 50th Vehicular Technology Conference (Cat. No.99CH36324), pp.810-813, 1999.
DOI : 10.1109/VETECF.1999.798441

E. K. Lee, Zero-crossing baseband demodulator, Proceedings of 6th International Symposium on Personal, Indoor and Mobile Radio Communications, pp.466-470, 1995.
DOI : 10.1109/PIMRC.1995.480912

H. M. Kwon, Huan Yue; Weiguang Hou; In-Ha HyunImproved zero-crossing demodulator for minimum shift keying under static environments, IEEE Global Telecommunications Conference (GLOBECOM), vol.22, pp.1024-1028, 1995.

E. K. Lee and H. M. Kwon, New baseband zero-crossing demodulator for wireless communications. I. Performance under static channel, Proceedings of MILCOM '95, pp.543-547, 1995.
DOI : 10.1109/MILCOM.1995.483525

H. M. Kwon and E. K. Lee, Weiguang Hou; Yun BaiNew baseband zero-crossing demodulator for wireless communications. II. Performance under fading channel, IEEE Military Communications Conference (MILCOM), pp.548-552, 1995.

H. M. Kwon, E. K. Lee, and . In-ha-hyun, Improved zero-IF zero-crossing demodulator for Gaussian minimum shift keying signals in land mobile channels, Proceedings of Vehicular Technology Conference, VTC, pp.297-301, 1996.
DOI : 10.1109/VETEC.1996.503456

H. M. Kwon and . Hou, Performance Analysis of Narrowband Digital FM Demodulator With Zero-Crossing Post Processors, IEEE Military Communications Conference (MILCOM), pp.275-279, 1996.

S. Samadian, R. Hayashi, and A. A. Abidi, Demodulators for a zero-if bluetooth receiver, IEEE Journal of Solid-State Circuits, vol.38, issue.8, pp.1393-1396, 2003.
DOI : 10.1109/JSSC.2003.814419

T. Scholand and P. Jung, Novel receiver structure for Bluetooth based on modified zerocrossing demodulation, IEEE Global Telecommunications Conference (GLOBECOM), pp.729-733, 2003.

H. M. Kwon and C. Lai, Frequency offset compensator for land mobile zero-crossing digital FM receiver, Proceedings of MILCOM '96 IEEE Military Communications Conference, pp.745-749, 1996.
DOI : 10.1109/MILCOM.1996.571348

K. S. Mun and H. M. Kwon, Symbol synchronization for zero-crossing demodulator under static and mobile fading environments, MILCOM 1999. IEEE Military Communications. Conference Proceedings (Cat. No.99CH36341), pp.341-345, 1999.
DOI : 10.1109/MILCOM.1999.822700

N. Sayiner, H. V. Sorensen, and T. R. Viswanathan, A level-crossing sampling scheme for A/D conversion, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, pp.335-339, 1996.
DOI : 10.1109/82.488288

E. Allier, G. Sicard, L. Fesquet, and M. Renaudin, A new class of asynchronous A/D converters based on time quantization, Ninth International Symposium on Asynchronous Circuits and Systems, 2003. Proceedings., pp.196-205, 2003.
DOI : 10.1109/ASYNC.2003.1199179

URL : https://hal.archives-ouvertes.fr/hal-00009583

F. Akopyan, R. Manohar, and A. B. Apsel, A Level-Crossing Flash Asynchronous Analog-to-Digital Converter, 12th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC'06), pp.11-22, 2006.
DOI : 10.1109/ASYNC.2006.5

T. Fusayasu, A Fast Integrating ADC Using Precise Time-to-Digital Conversion, IEEE Nuclear Science Symposium, vol.1, pp.302-304, 2007.

F. Marvasti, Nonuniform Sampling Theory and Practice, 1990.

H. Pekau, A. Yousif, and J. W. Haslett, A CMOS Integrated Linear Voltage-to-Pulse-Delay-Time Converter for Time Based Analog-to-Digital Converters, 2006 IEEE International Symposium on Circuits and Systems, pp.2373-2376, 2006.
DOI : 10.1109/ISCAS.2006.1693099

J. Kim and S. Cho, A Time-Based Analog-to-Digital Converter Using a Multi-Phase Voltage- Controlled Oscillator, International Symposium on Circuits and Systems (ISCAS), pp.3934-3937, 2006.

R. B. Staszewski, All-digital TX frequency synthesizer and discrete-time receiver for Bluetooth radio in 130-nm CMOS, IEEE Journal of Solid-State Circuits, vol.39, issue.12, pp.2278-2291, 2004.
DOI : 10.1109/JSSC.2004.836345

A. M. Brosa and J. Figueras, Digital signature proposal for mixed-signal circuits, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159), pp.1041-1050, 2000.
DOI : 10.1109/TEST.2000.894317

S. Sunter and A. Roy, A Mixed-Signal Test Bus and Analog BIST with 'Unlimited' Time and Voltage Resolution, 2011 Sixteenth IEEE European Test Symposium, pp.81-86, 2011.
DOI : 10.1109/ETS.2011.22

V. Gutnik and A. Chandrakasan, On-chip picosecond time measurement, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103), pp.52-53, 2000.
DOI : 10.1109/VLSIC.2000.852849

S. Tabatabaei and A. Ivanov, An embedded core for sub-picosecond timing measurements, Proceedings. International Test Conference, pp.129-137, 2002.
DOI : 10.1109/TEST.2002.1041753

P. M. Levine and G. W. Roberts, A high-resolution flash time-to-digital converter and calibration scheme, 2004 International Conferce on Test, pp.1148-1157, 2004.
DOI : 10.1109/TEST.2004.1387389

J. Rivoir, Fully-Digital Time-To-Digital Converter for ATE with Autonomous Calibration, 2006 IEEE International Test Conference, pp.1-10, 2006.
DOI : 10.1109/TEST.2006.297713

F. ;. Azais, L. Latorre, P. Nouet, N. Pous, and J. Rivoir, Exploiting zero-crossing for the analysis of FM modulated analog/RF signals using digital ATE, IEEE Asian Test Symposium, pp.261-266, 2009.
URL : https://hal.archives-ouvertes.fr/lirmm-00436384

N. Pous, F. ;. Azais, L. Latorre, P. Nouet, and J. Rivoir, Experiments on the analysis of phase/frequency-modulated RF signals using digital tester channels, 2010 11th Latin American Test Workshop, p.7, 2010.
DOI : 10.1109/LATW.2010.5550370

URL : https://hal.archives-ouvertes.fr/lirmm-00473524

N. Pous, F. ;. Azais, L. Latorre, and J. Rivoir, On the use of standard digital ATE for the analysis of RF signals, 2010 15th IEEE European Test Symposium, pp.43-485512782, 2010.
DOI : 10.1109/ETSYM.2010.5512782

URL : https://hal.archives-ouvertes.fr/lirmm-00492828

N. Pous, F. ;. Azais, L. Latorre, and J. Rivoir, AM-demodulation of analog/RF signals using digital tester channels, 2010 IEEE 16th International Mixed-Signals, Sensors and Systems Test Workshop (IMS3TW), p.6, 2010.
DOI : 10.1109/IMS3TW.2010.5503013

URL : https://hal.archives-ouvertes.fr/lirmm-00494546

N. Pous, F. ;. Azais, L. Latorre, G. Confais, and J. Rivoir, Level-crossing based QAM demodulation for low-cost analog/RF testing, 2011 IEEE 9th International New Circuits and systems conference, pp.309-312, 2011.
DOI : 10.1109/NEWCAS.2011.5981317

URL : https://hal.archives-ouvertes.fr/lirmm-00702763

N. Pous, F. ;. Azais, L. Latorre, and J. Rivoir, A Level-Crossing Approach for the Analysis of RF Modulated Signals Using Only Digital Test Resources, Journal of Electronic Testing, vol.54, issue.2, pp.289-303, 2011.
DOI : 10.1007/s10836-011-5222-y

URL : https://hal.archives-ouvertes.fr/lirmm-00702746