.. Stabilité-d-'un-modèle-empirique-du-noeud-65-nm, 58 3.3.1 Stabilité par rapport à la position du plan focal 58 3.3.2 Stabilité par rapport à l'ouverture numérique et aux facteurs de cohérence partielle, p.60

.. Vérification-de-la-couverture-du-modèle, 64 3.3.5.1 Définition de l'espace de paramètres du modèle la distance d'un site par rapport à l'espace des paramètres, p.65

S. Amélioration-de-la-des, 119 5.2.3.1 Correction par réduction de la taille des, p.122

R. Évaluation and .. Et-courbes-de-bossung, 128 5.3.2.1 Définition des conditions de simulation 131 5.3.2.3 Position du meilleur focus, p.135

M. Saied and E. Yesilada, Simulation of the image projected by a mask, US PATENT App, vol.839817, issue.700, pp.117-144, 2010.

M. Available, F. Saied, Y. Foussadier, J. Trouiller, K. Belledent et al., Sensitivity of a variable threshold model toward process and modeling parameters, Proceedings of SPIE, vol.6349, 2006.

M. Saied, F. Foussadier, J. Belledent, Y. Trouiller, I. Schanen et al., Three-dimensional mask effects and source polarization impact on OPC model accuracy and process window, Optical Microlithography XX, 2007.
DOI : 10.1117/12.715120

M. Saied, F. Foussadier, Y. Trouiller, V. Farys, I. Schanen et al., Oral presentation, Resist model stability and sensitivity study using small variation method, 2008.

K. Lucas, J. S. Gordon, W. Conley, M. Saied, S. Warrick et al., Optical issues of thin organic pellicles in 45-nm and 32-nm immersion lithography, Photomask Technology 2006, 2006.
DOI : 10.1117/12.686741

Y. Trouiller, V. Farys, A. Borjon, J. Belledent, C. Couderc et al., 32-nm SOC printing with double patterning, regular design, and 1.2 NA immersion scanner, Optical Microlithography XX, 2007.
DOI : 10.1117/12.714116

J. C. Urbani, J. D. Chapon, J. Belledent, A. Borjon, C. Couderc et al., Characterization of inverse SRAF for active layer trenches on 45-nm node, Photomask and Next-Generation Lithography Mask Technology XIV, 2007.
DOI : 10.1117/12.728960

A. Borjon, J. Belledent, Y. Trouiller, C. Gardin, C. Couderc et al., Analysis of the diffraction pattern for optimal assist feature placement, proceedings of the 32nd International Conference on Micro-and Nano-Engineering, pp.5-8, 2007.
DOI : 10.1016/j.mee.2007.01.016

URL : https://hal.archives-ouvertes.fr/hal-00291568

C. Gardin, J. Belledent, Y. Trouiller, A. Borjon, C. Couderc et al., Etch modeling for model-based optical proximity correction for 65 nm node, proceedings of the 32nd International Conference on Micro-and Nano-Engineering, pp.5-8, 2007.

F. Sundermann, F. Foussadier, T. Takigawa, J. Wiley, A. Vacca et al., Model-based mask verification on critical 45nm logic masks, Photomask and Next-Generation Lithography Mask Technology XV, 2008.
DOI : 10.1117/12.793037

V. Farys, F. Robert, C. Martinelli, Y. Trouiller, F. Sundermann et al., Study of SRAF placement for contact at 45 nm and 32 nm node, Optical Microlithography XXI, 2008.
DOI : 10.1117/12.774091

M. K. Top, Y. Trouiller, V. Farys, D. Fuard, E. Yesilada et al., Outliers detection by fuzzy classification method for model building, Metrology, Inspection, and Process Control for Microlithography XXIII, 2009.
DOI : 10.1117/12.812955

URL : https://hal.archives-ouvertes.fr/hal-00374511

F. Foussadier, E. Yesilada, J. C. Le-denmat, Y. Trouiller, V. Farys et al., Impact of modelisation pixel size on OPC consistency, Optical Microlithography XXII, 2009.
DOI : 10.1117/12.814047

URL : https://hal.archives-ouvertes.fr/hal-00462562

D. Rio, C. Constancias, M. Saied, B. Icard, and L. Pain, Study on line edge roughness for electron beam acceleration voltages from 50???to???5???kV, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.27, issue.6, pp.2512-2517, 2009.
DOI : 10.1116/1.3253650

]. A. Bibliographie1, Y. Kahng, and . Pati, Subwavelength lithography and its potential impact on design and EDA, Design Automation Conference Proceedings. 36th, pp.799-804, 1999.

T. Terasawa, Embedded tutorial, Proceedings of the 2000 conference on Asia South Pacific design automation , ASP-DAC '00, pp.295-300, 2000.
DOI : 10.1145/368434.368638

A. B. Kahng and Y. C. Pati, Subwavelength optical lithography, Proceedings of the 1999 international symposium on Physical design , ISPD '99, pp.112-119, 1999.
DOI : 10.1145/299996.300035

T. Michael, U. Wilhelm, G. Aksel, and K. Winfried, Semiconductor fabrication : Pushing deep ultraviolet lithography to its limits, Nature Photonics, vol.1, issue.11, pp.629-631, 2007.

A. K. Wong, Resolution enhancement techniques in optical lithography, p.5, 2001.
DOI : 10.1117/3.401208

B. Streefkerk, J. Baselmans, W. G. Van-ansem, J. Mulkens, C. Hoogendam et al., Extending optical lithography with immersion, Optical Microlithography XVII, pp.285-305, 2004.
DOI : 10.1117/12.534009

L. W. Liebmann, Layout impact of resolution enhancement techniques, Proceedings of the 2003 international symposium on Physical design , ISPD '03, pp.110-117, 2003.
DOI : 10.1145/640000.640026

E. J. Walker, Reduction of photoresist standing-wave effects by post-exposure bake, IEEE Transactions on Electron Devices, vol.22, issue.7, pp.464-466, 1975.
DOI : 10.1109/T-ED.1975.18162

Y. Lin, A. J. Purdes, S. A. Saller, and W. R. Hunter, Improvement of linewidth control with antireflective coating in optical lithography, Journal of Applied Physics, vol.55, issue.4, pp.1110-1115, 1984.
DOI : 10.1063/1.333201

D. Hopper, K. Ghandehari, and M. V. Ngo, Structure and method for reducing standing waves in a photoresist350,472 ; Fil. date : 23, US PATENT App, vol.7070, issue.10, pp.911-912, 2003.

L. Rayleigh, Investigations in optics with special reference to the spectroscope, Philosophical Magazine Series, vol.5, issue.1879 9, pp.261-274

G. S. Chua, C. J. Tay, C. Quan, and Q. Lin, Improvement of Rayleigh criterion with duty ratio characterization for subwavelength lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.2, pp.801-808, 2004.
DOI : 10.1116/1.1689304

D. Melville, Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations, Optical Microlithography XXIII, pp.1-18, 2010.
DOI : 10.1117/12.846716

E. Hecht, Optics, Fourth Edition, pp.386-387, 2002.

J. E. Webb, R. L. Maier, D. S. Goodman, and W. Conley, Hyper-numerical aperture imaging challenges for 193 nm, Optical Microlithography XVIII, pp.69-79, 2004.
DOI : 10.1117/12.600359

D. G. Flagello, S. Hansen, B. Geh, and M. Totzeck, Challenges with hyper-NA (NA>1.0) polarized light lithography for sub ??/4 resolution, Optical Microlithography XVIII, pp.53-68, 2005.
DOI : 10.1117/12.599913

W. De-boeij, G. Swinkels, N. L. Masson, A. Koolen, H. Van-greevenbroek et al., Enabling the 45nm node by hyper-NA polarized lithography, Optical Microlithography XIX, p.14, 2006.
DOI : 10.1117/12.659006

E. Van-setten, W. De-boeij, B. Hepp, N. Le-masson, G. Swinkels et al., Pushing the boundary: low-k1 extension by polarized illumination, Optical Microlithography XX, p.14, 2007.
DOI : 10.1117/12.713873

K. Ozawa, B. Thunnakart, T. Kaneguchi, I. Mita, A. Someya et al., Effect of Azimuthally Polarized Illumination Imaging on Device Patterns Beyond the 45-nm-Node, Proceedings of SPIE, vol.6154, p.14, 2006.

M. D. Levenson, N. S. Viswanathan, and R. A. Simpson, Improving resolution in photolithography with a phase-shifting mask, IEEE Transactions on Electron Devices, vol.29, issue.12, pp.29-1828, 1982.
DOI : 10.1109/T-ED.1982.21037

Z. Cui, Nanofabrication, Principles, Capabilities and Limits, LLC, issue.2, pp.34-38, 2008.

W. Loong, C. Wei-chen, Y. Hui-chang, C. Ming-lin, Z. Cui et al., tisi x o y as an absorptive shifter for embedded phase-shifting mask in 248 nm and the modification of R-T method for the determination of shifter's n and k, Microelectronic Engineering, vol.4142, pp.125-128, 1998.

C. Lin and W. Loong, TiSixNy and TiSixOyNz as embedded materials for attenuated phase-shifting mask in 193 nm, Microelectronic Engineering, vol.46, issue.1-4, pp.93-96, 1999.
DOI : 10.1016/S0167-9317(99)00023-4

G. Dao, G. Liu, R. Hainsey, J. Farnsworth, Y. Tokoro et al., 248-nm DUV MoSiON embedded phase-shifting mask for 0.25 micrometer lithography, Photomask and X-Ray Mask Technology II, pp.1-9, 1995.
DOI : 10.1117/12.212781

K. K. Shih and D. B. Dove, Thin film materials for the preparation of attenuating phase shift masks, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.12, issue.1, pp.32-36, 1994.
DOI : 10.1116/1.587163

J. Ushioda, Y. Seki, K. Maeda, T. Ohfuji, and H. Tanabe, Chromium Fluoride Attenuated Phase-Shifting Mask for Argon Fluoride Excimer Laser Lithography, Japanese Journal of Applied Physics, vol.35, issue.Part 1, No. 12B, pp.6356-6359, 1996.
DOI : 10.1143/JJAP.35.6356

P. F. Carcia, R. H. French, M. H. Reilly, M. F. Lemon, and D. J. Jones, Optical superlattices???a strategy for designing phase-shift masks for photolithography at 248 and 193 nm: Application to AlN/CrN, Applied Physics Letters, vol.70, issue.18, pp.2371-2373, 1997.
DOI : 10.1063/1.118876

P. F. Carcia, R. H. French, C. Torardi, G. Reynolds, and L. Dieu, Thin films for phaseshift masks, Vacuum and Thin Films, pp.14-21, 1999.

A. Bourov, L. Litt, and L. Zavyalova, Impact of flare on cd variation for 248nm and 193nm lithography systems, Proceedings of SPIE, vol.4346, issue.25, pp.17-39, 2001.

K. Sato, K. Chiba, K. Hayasaki, and K. Kawano, Mid-range flare measurement and modeling, Optical Microlithography XVIII, pp.17-39, 2005.
DOI : 10.1117/12.599605

Y. J. Yun, J. Kim, and K. Kim, Flare effect of different shape of illumination apertures in 193-nm optical lithography system, Optical Microlithography XX, p.39, 2007.
DOI : 10.1117/12.711634

T. Brunner, Z. Chen, K. Chen, and S. Scheer, A new long range proximity effect in chemically amplified photoresist processes: chemical flare, Advances in Resist Technology and Processing XXII, p.39, 2005.
DOI : 10.1117/12.598822

D. Sullivan, Chemical flare long-range proximity effects in photomask manufacturing with chemically amplified resists, Photomask Technology 2006, pp.17-39, 2006.
DOI : 10.1117/12.686732

M. Drapeau, V. Wiaux, E. Hendrickx, S. Verhaegen, and T. Machida, Double patterning design split implementation and validation for the 32nm node, Design for Manufacturability through Design-Process Integration, p.20, 2007.
DOI : 10.1117/12.712139

M. Hori, T. Nagai, A. Nakamura, T. Abe, G. Wakamatsu et al., Sub-40nm half-pitch double patterning with resist freezing process, Proceedings of SPIE, vol.6923, p.20, 2008.
DOI : 10.1117/12.772403

C. Fonseca, M. Somervell, S. Scheer, W. Printza, K. Nafusb et al., Advances and challenges in dual-tone development process optimization, Optical Microlithography XXII, 2009.
DOI : 10.1117/12.814289

W. Shiu, H. Liu, J. Wu, T. Tseng, C. T. Liao et al., Advanced selfaligned double patterning development for sub-30-nm DRAM manufacturing, Proceedings of SPIE, vol.7274, p.22, 2009.
DOI : 10.1117/12.813986

Y. C. Bencher and H. Dai, Gridded design rule scaling: taking the CPU toward the 16nm node, Optical Microlithography XXII, p.22, 2009.
DOI : 10.1117/12.814435

T. K. Carlson, Low-variability negative and iterative spacer processes for sub-30-nm lines and holes, J. Micro/Nanolith. MEMS MOEMS, vol.8, issue.1, p.22, 2009.

H. H. Hopkins, On the Diffraction Theory of Optical Images, Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences, vol.217, issue.1130, pp.408-432, 1953.
DOI : 10.1098/rspa.1953.0071

T. V. Pistor, A. R. Neureuther, and R. J. Socha, Modeling oblique incidence effects in photomasks, Optical Microlithography XIII, p.24, 2000.
DOI : 10.1117/12.389012

P. Liu, Y. Cao, L. Chen, G. Chen, M. Feng et al., Fast and accurate 3D mask model for full-chip OPC and verification, Optical Microlithography XX, pp.65200-99, 2007.
DOI : 10.1117/12.712171

Y. S. Sohn, D. S. Sohn, and H. K. Oh, Effect of temperature variation during post exposure bake on 193 nm chemically amplified resist simulation, Microprocesses and Nanotechnology Conference, pp.100-101, 1925.

F. H. Dill, Optical lithography, IEEE Transactions on Electron Devices, vol.22, issue.7, pp.440-444, 1975.
DOI : 10.1109/T-ED.1975.18158

F. H. Dill, W. P. Hornberger, P. S. Hauge, and J. M. Shaw, Characterization of positive photoresist, IEEE Transactions on Electron Devices, vol.22, issue.7, pp.22-445, 1975.
DOI : 10.1109/T-ED.1975.18159

K. L. Konnerth and F. H. Dill, In-situ measurement of dielectric thickness during etching or developing processes, IEEE Transactions on Electron Devices, vol.22, issue.7, pp.22-452, 1975.
DOI : 10.1109/T-ED.1975.18160

F. H. Dill, A. R. Neureuther, J. A. Tuttle, and E. J. Walker, Modeling projection printing of positive photoresists, IEEE Transactions on Electron Devices, vol.22, issue.7, pp.22-456, 1975.
DOI : 10.1109/T-ED.1975.18161

C. A. Mack, <title>Advanced Topics In Lithography Modeling</title>, Advances in Resist Technology and Processing III, pp.276-285, 1986.
DOI : 10.1117/12.963652

M. A. Toukhy, S. G. Hansen, R. J. Hurditch, and C. A. Mack, Experimental investigation of a novel dissolution model, Advances in Resist Technology and Processing IX, pp.286-298, 1992.
DOI : 10.1117/12.59759

G. Arthur, C. A. Mack, and B. Martin, A new development model for lithography simulation, Interface'97, pp.55-66, 1925.

C. A. Mack and G. Arthur, Notch Model for Photoresist Dissolution, Electrochemical and Solid-State Letters, vol.1, issue.2, pp.86-88, 1998.
DOI : 10.1149/1.1390645

S. Robertson and E. Pavelchek, Improved notch model for resist dissolution in lithography simulation, Advances in Resist Technology and Processing XVIII, p.25, 2001.
DOI : 10.1117/12.436815

S. Postnikov, Critical dimension control in optical lithography, Microelectronic Engineering, vol.69, issue.2-4, pp.452-458, 2003.
DOI : 10.1016/S0167-9317(03)00334-4

Y. Granik and N. B. Cobb, New process models for OPC at sub-90-nm nodes, Optical Microlithography XVI, pp.1166-1175, 2003.
DOI : 10.1117/12.485321

W. Tawfic, M. Imam, and G. E. Bailey, Safe interpolation distance for VT5 resist model, Photomask Technology 2007, p.27, 2007.
DOI : 10.1117/12.746613

Y. Granik, Application of CM0 resist model to OPC and verification, Optical Microlithography XIX, p.28, 2006.
DOI : 10.1117/12.656566

F. Sundermann, OPC structures for maskshops qualification for the CMOS65nm and CMOS45nm nodes, 23rd European Mask and Lithography Conference, p.39, 2007.
DOI : 10.1117/12.736927

D. Sohn, Analysis of the relation between exposure parameters and critical dimension by response surface model, Advances in Resist Technology and Processing XVIII, pp.973-57, 2001.
DOI : 10.1117/12.436822

S. K. Kim, Simulation parameter effects on critical dimension and sensitivity of 193 nm chemically amplified resist, Microprocesses and Nanotechnology Conference, pp.226-227, 2001.

J. Schacht, K. Herold, and R. Zimmermann, Calibration of OPC models for multiple focus conditions, Optical Microlithography XVII, p.54, 2004.
DOI : 10.1117/12.534123

K. Elian, Resist parameter sensitivity analysis based on calibrated simulation for understanding resist limitations in next generation lithography, Microelectronic Engineering, vol.83, issue.4-9, pp.1082-1086, 2006.
DOI : 10.1016/j.mee.2006.01.071

B. S. Ward, Improving HyperNA OPC using targeted measurements for model parameter extraction, Proceedings of SPIE, vol.6730, issue.57, p.159, 2007.
DOI : 10.1117/12.746576

L. S. Melvin, D. N. Zhang, K. J. Strozewski, and S. Wolfer, The Use of the Manufacturing Sensitivity Model Forms to Comprehend Layout Manufacturing Robustness For Use During Device Design, 7th International Symposium on Quality Electronic Design (ISQED'06), pp.485-490, 2006.
DOI : 10.1109/ISQED.2006.135

D. Zhang and L. Melvin, Model-based lithography verification using the new manufacturing sensitivity model, Photomask Technology 2006, p.57, 2006.
DOI : 10.1117/12.686711

L. S. Melvin, J. P. Shiely, and Q. Yan, Use of optical defocus components to investigate and improve pattern spatial frequency characteristics for more robust layouts, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6
DOI : 10.1116/1.2062687

L. S. Melvin, Q. Yan, and W. F. Kielhorn, Lithographic manufacturing robustness analysis for as drawn patterns, Microelectronic Engineering, vol.83, issue.4-9, pp.1003-1007, 2006.
DOI : 10.1016/j.mee.2006.01.243

J. E. Jung, SEM contour-based model OPC calibrated with optically sensitive patterns, Design for Manufacturability through Design-Process Integration II, p.163, 2008.
DOI : 10.1117/12.772485

A. Abdo, The effect of calibration feature weighting on OPC optical and resist models: investigating the influence on model coefficients and on the overall model fitting, 25th Annual BACUS Symposium on Photomask Technology, p.57, 2005.
DOI : 10.1117/12.631817

M. Saied, Sensitivity of a variable threshold model toward process and modeling parameters, Photomask Technology 2006, p.57, 2006.
DOI : 10.1117/12.686666

K. Patterson, Improving model-based OPC performance for the 65-nm node through calibration set optimization, Design and Process Integration for Microelectronic Manufacturing III, pp.294-64, 2005.
DOI : 10.1117/12.601166

A. Khoh, Maximizing test pattern coverage for OPC model build, Optical Microlithography XIX, p.64, 2006.
DOI : 10.1117/12.660292

H. Liu, Q. Zhao, J. F. Chen, J. Jiang, R. Socha et al., Separable OPC models for computational lithography, Photomask and Next-Generation Lithography Mask Technology XV, pp.70280-111, 2008.
DOI : 10.1117/12.793039

A. Taflove, Computational electrodynamics : The Finite-Difference Time-Domain Method, p.81, 1995.

A. Taflove and S. C. Hagness, Computational Electrodynamics : The Finite-Difference Time-Domain Method, p.174, 2005.

K. Kunz and R. Luebbers, The finite difference time domain method for electromagnetics, p.179, 1993.

M. G. Moharam and T. K. Gaylord, Rigorous coupled-wave analysis of planar-grating diffraction, Journal of the Optical Society of America, vol.71, issue.7, pp.811-818, 1981.
DOI : 10.1364/JOSA.71.000811

K. Rokushima and J. Yamakita, Analysis of anisotropic dielectric gratings, Journal of the Optical Society of America, vol.73, issue.7, pp.901-908, 1983.
DOI : 10.1364/JOSA.73.000901

C. B. Burckhardt, Diffraction of a Plane Wave at a Sinusoidally Stratified Dielectric Grating, Journal of the Optical Society of America, vol.56, issue.11, pp.1502-1509, 1966.
DOI : 10.1364/JOSA.56.001502

F. G. Kaspar, Diffraction by thick, periodically stratified gratings with complex dielectric constant, Journal of the Optical Society of America, vol.63, issue.1, pp.37-45, 1973.
DOI : 10.1364/JOSA.63.000037

K. Knop, Rigorous diffraction theory for transmission phase gratings with deep rectangular grooves, Journal of the Optical Society of America, vol.68, issue.9, pp.1206-1210, 1978.
DOI : 10.1364/JOSA.68.001206

P. Lalanne and G. M. Morris, Highly improved convergence of the coupled-wave method for TM polarization, Journal of the Optical Society of America A, vol.13, issue.4, pp.779-784, 1996.
DOI : 10.1364/JOSAA.13.000779

G. Granet and B. Guizal, Efficient implementation of the coupled-wave method for metallic lamellar gratings in TM polarization, Journal of the Optical Society of America A, vol.13, issue.5, pp.1019-1023, 1982.
DOI : 10.1364/JOSAA.13.001019

E. Silberstein, P. Lalanne, J. Hugonin, and Q. Cao, Use of grating theories in integrated optics, Journal of the Optical Society of America A, vol.18, issue.11, pp.2865-2875, 2001.
DOI : 10.1364/JOSAA.18.002865

URL : https://hal.archives-ouvertes.fr/hal-00867923

M. Palamaru and P. Lalanne, Photonic crystal waveguides: Out-of-plane losses and adiabatic modal conversion, Applied Physics Letters, vol.78, issue.11, pp.1466-1468, 2001.
DOI : 10.1063/1.1354666

URL : https://hal.archives-ouvertes.fr/hal-00859065

H. Benisty and P. Lalanne, Out-of-plane losses of two-dimensional photonic crystal waveguides : Electromagnetic analysis, Journal of Applied Physics, vol.89, issue.2, pp.1512-1514, 2001.

P. Lalanne, Electromagnetic analysis of photonic crystal waveguides operating above the light cone, IEEE Journal of Quantum Electronics, vol.38, issue.7, pp.800-804, 2002.
DOI : 10.1109/JQE.2002.1017589

C. Sauvan, P. Lalanne, and J. P. Hugonin, Slow-wave effect and mode-profile matching in photonic crystal microcavities, Physical Review B, vol.71, issue.16, pp.165118-82, 2005.
DOI : 10.1103/PhysRevB.71.165118

URL : https://hal.archives-ouvertes.fr/hal-00113011

C. Sauvan, G. Lecamp, P. Lalanne, and J. Hugonin, Modal-reflectivity enhancement by geometry tuning in Photonic Crystal microcavities, Optics Express, vol.13, issue.1, pp.245-255, 1982.
DOI : 10.1364/OPEX.13.000245

URL : https://hal.archives-ouvertes.fr/hal-00869825

A. David, H. Benisty, and C. Weisbuch, Fast factorization rule and plane-wave expansion method for two-dimensional photonic crystals with arbitrary hole-shape, Physical Review B, vol.73, issue.7, pp.75107-82, 2006.
DOI : 10.1103/PhysRevB.73.075107

B. Chernov, M. Nevière, and E. Popov, Fast Fourier factorization method applied to modal analysis of slanted lamellar diffraction gratings in conical mountings, Optics Communications, vol.194, issue.4-6, pp.4-6, 2001.
DOI : 10.1016/S0030-4018(01)01309-8

H. Bremmer, The W.K.B. approximation as the first term of a geometric-optical series, Communications on Pure and Applied Mathematics, vol.4, issue.1, pp.105-82, 1951.
DOI : 10.1002/cpa.3160040111

D. S. Jones, The theory of electromagnetism, pp.438-450, 1964.

C. J. Bouwcamp, Diffraction Theory, Reports on Progress in Physics, vol.17, issue.1, pp.35-100, 1954.
DOI : 10.1088/0034-4885/17/1/302

J. Ripoll, V. Ntziachristos, R. Carminati, and M. Nieto-vesperinas, Kirchhoff approximation for diffusive waves, Physical Review E, vol.64, issue.5, pp.51917-82, 2001.
DOI : 10.1103/PhysRevE.64.051917

J. M. Soto-crespo and M. Nieto-vesperinas, Electromagnetic scattering from very rough random surfaces and deep reflection gratings, Journal of the Optical Society of America A, vol.6, issue.3, pp.367-384, 1989.
DOI : 10.1364/JOSAA.6.000367

URL : http://hdl.handle.net/10261/71591

N. Pinel and C. Bourlier, Scattering from very rough layers under the geometric optics approximation: further investigation, Journal of the Optical Society of America A, vol.25, issue.6, pp.1293-1306, 2008.
DOI : 10.1364/JOSAA.25.001293

A. V. Moroz and Z. I. Feizulin, Possible extension of Kirchhoff's approximation in problems of wave diffraction by transparent inhomogeneous objects, Radiophysics and Quantum Electronics, vol.17, issue.7, p.82, 1983.
DOI : 10.1007/BF01034875

Z. L. Wang, F. Xu, Y. Q. Jin, and H. Ogura, A double Kirchhoff approximation for very rough surface scattering using the stochastic functional approach, Radio Science, vol.52, issue.8, p.82, 2005.
DOI : 10.1029/2004RS003079

M. S. Yeung and E. Barouch, Limitation of the Kirchhoff boundary conditions for aerial image simulation in 157-nm optical lithography, IEEE Electron Device Letters, vol.21, issue.9, p.83, 2000.
DOI : 10.1109/55.863101

M. Saied, 3D mask modeling with oblique incidence and mask corner rounding effects for the 32nm node, Photomask Technology 2007, p.140, 2007.
DOI : 10.1117/12.752613

S. L. Sobolev, L'algorithme de schwarz dans la théorie de l'élasticité Comptes rendus doklady de l'académie des sciences de l, URSS IV, vol.XIII, issue.6, pp.243-246, 1936.

I. Babuska, The schwarz algorithm in partial differential equations of mathematical physics, Czech. Math. J, vol.83, issue.8, p.99, 1958.

K. Miller, Numerical analogs to the Schwarz alternating procedure, Numerische Mathematik, vol.51, issue.2, p.99, 1965.
DOI : 10.1007/BF01397683

A. M. Matsokin and S. V. Nepomnyaschikh, A schwarz alternating method in a subspace, Soviet Mathematics, vol.29, issue.10, pp.78-84, 1985.

M. Dryja and O. B. Widlund, An additive variant of the schwarz alternating method for the case of many subregions, p.99, 1987.

P. Lions, On the schwarz alternating method. i, " First international symposium on domain dcecomposition methods for partial differential equations, SIAM, p.99, 1988.

K. Adam and A. R. Neureuther, Simplified models for edge transitions in rigorous mask modeling, Optical Microlithography XIV, pp.331-344, 2001.
DOI : 10.1117/12.435733

K. Adam, Domain decomposition methods for the electromagnetic simulation of scattering from three-dimensional structures with applications in lithography, p.100, 2001.

J. Tirapu-azpiroz, P. Burchard, and E. Yablonovitch, Boundary layer model to account for thick mask effects in photolithography, Optical Microlithography XVI, p.124, 2003.
DOI : 10.1117/12.488803

T. L. Fung-chen, H. Liu, and R. Socha, Development of a Computational Lithography Roadmap, Proceedings of SPIE, vol.6924, p.100, 2008.

K. Adam, Sum of Coherent Systems (SOCS) approximation based on object information US PATENT715,667 ; Fil. date : 8, App, issue.11, 2007.

N. Cobb, Sum of Coherent Systems Decomposition by SVD, p.100, 1995.

C. Zuniga and E. Tejnil, Heuristics for truncating the number of optical kernels in Hopkins image calculations for model-based OPC treatment, Optical Microlithography XX, p.100, 2007.
DOI : 10.1117/12.712625

S. Kazuya, I. Masamitsu, and S. Takashi, HP45 lithography in consideration of the mask 3D effect, Proceedings of SPIE, vol.6283, issue.2 111, p.62831, 2006.

J. Tirapu-azpiroz, Analysis and modeling of photomask near-fields in sub-wavelength deep ultraviolet lithography with optical proximity corrections, p.112, 2004.

J. Tirapu-azpiroz and E. Yablonovitch, Incorporating mask topography edge diffraction in photolithography simulations, Journal of the Optical Society of America A, vol.23, issue.4, pp.821-828, 2006.
DOI : 10.1364/JOSAA.23.000821

M. C. Lam and K. Adam, Understanding the impact of rigorous mask effects in the presence of resist models, Proceedings of SPIE, vol.6520, issue.111, p.118, 2007.

M. Saied and E. Yesilada, Simulation of the image projected by a mask, App, vol.839817, issue.12, p.20, 2010.

M. D. , J. Finders, and S. Hsu, Double Patterning Lithography : The Bridge Between low k1 ArF and EUV, Microlithography World, p.139, 2008.

M. Saied, Three-dimensional mask effects and source polarization impact on OPC model accuracy and process window, Optical Microlithography XX, p.140, 2007.
DOI : 10.1117/12.715120

D. Roy, P. K. Basu, P. Raghunathan, and S. V. Eswaran, DNQ-novolac photoresists revisited:1H and13C NMR evidence for a novel photoreaction mechanism, Magnetic Resonance in Chemistry, vol.11, issue.2, pp.84-90, 2003.
DOI : 10.1002/mrc.1134

Z. Cui, Nanofabrication, Principles, Capabilities and Limits, LLC, issue.2, pp.44-53, 2008.