15 représente l'erreur d'estimation des différents benchmarks, en utilisant le projet COMCAS ou notre approche pour une plateforme OMAP4. Nous avons aussi utilisé plusieurs fréquences de processeur pour effectuer les comparaisons. ? Les diagrammes nommés " High level model ,
COMCAS " montrent l'erreur d'estimation obtenuè a partir de QEMU par rapportàrapportà la performance de la plate-forme réelle ,
Power optimization technique applied to real-time video application Demonstration and poster. [NAN10] Joffrey Kriegel, Marius Gligor, Fabien Colas-Bigey, Frédéric Petrot " QEMU-based virtual prototyping Power optimization technique applied to real-time video application, SAME Forum Demonstration and poster. [WUP11] Joffrey Kriegel Workshop on Ultra-Low Power Sensor Networks (WUPS), 2010. ,
A High-Level Benchmarks Generator for Multi-Core Platforms Running Real-Time Applications A Performance Estimation Flow for Embedded Systems with Mixed Software/Hardware Modeling A Performance Estimation Flow for Embedded Systems with Mixed Software/Hardware Modeling A Performance Estimation Flow for Embedded Systems with Mixed Software/Hardware Modeling Waveperf : A Benchmark Generator for Performance EvaluationSoftware Modeling Framework for Rapid Performance Estimation, Journée des doctorants du LEAT International Conference on Embedded Computer Systems : Architectures, Modeling, and Simulation (SAMOS) 10th IEEE International NEWCAS Conference, 2011. ,
264 s'exécutant sur un processeur ARM Cortex-A8 avec différents paramètres matériel, p.41 ,
Integrated scheduling, allocation and module selection for design-space exploration in high-level synthesis, IEE Proceedings -Computers and Digital Techniques, pp.65-71, 1995. ,
Design space exploration methodologies for IP-based systemon-a-chip, IEEE International Symposium on Circuits and Systems, pp.364-367, 2002. ,
A framework for design space exploration of parameterized VLSI systems, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design, pp.245-250, 2002. ,
DOI : 10.1109/ASPDAC.2002.994930
An Efficient Framework for Power-Aware Design of Heterogeneous MPSoC, IEEE TRANSACTIONS ON INDUSTRIAL INFORMAT- ICS, c IEEE PRESS, 2012. ,
DOI : 10.1109/TII.2012.2198657
URL : https://hal.archives-ouvertes.fr/hal-00921900
CODEF: a system level design space exploration tool, 2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.01CH37221), pp.1145-1148, 2001. ,
DOI : 10.1109/ICASSP.2001.941124
Architecture synthesis and partitioning of real-time systems: a comparison of three heuristic search strategies, Proceedings of 5th International Workshop on Hardware/Software Co Design. Codes/CASHE '97, pp.161-165, 1997. ,
DOI : 10.1109/HSC.1997.584596
Design space exploration for hardware/software codesign of multiprocessor systems, Proceedings 11th International Workshop on Rapid System Prototyping. RSP 2000. Shortening the Path from Specification to Prototype (Cat. No.PR00668), pp.8-13, 2000. ,
DOI : 10.1109/IWRSP.2000.854975
URL : https://hal.archives-ouvertes.fr/hal-00008096
Hardware-Software Co-Design of Embedded Systems : The Polis Approach, Number 404 in International Series in Engineering and Computer Science, 1997. ,
DOI : 10.1007/978-1-4615-6127-9
Automatic generation of a real-time operating system for embedded systems, 5th International Workshop on Hardware/Software Co-Design (Codes/CASHE), 1997. ,
Metropolis: an integrated electronic system design environment, Computer, vol.36, issue.4, pp.45-52, 2003. ,
DOI : 10.1109/MC.2003.1193228
RTOS-aware refinement for TLM2.0-based HW/SW designs, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 2010. ,
DOI : 10.1109/DATE.2010.5456965
QEMU, a Fast and Portable Dynamic Translator, FREENIX Track : 2005 USENIX Annual Technical Conference ,
The Esterel synchronous programming language: design, semantics, implementation, Science of Computer Programming, vol.19, issue.2, pp.87-152, 1992. ,
DOI : 10.1016/0167-6423(92)90005-V
URL : https://hal.archives-ouvertes.fr/inria-00075711
System-level synthesis using evolutionary algorithms Design Automation for Embedded Systems, pp.23-58, 1998. ,
Toward a practical methodology for completely characterizing the optimal design space, Proceedings of 9th International Symposium on Systems Synthesis, pp.8-13, 1996. ,
DOI : 10.1109/ISSS.1996.565870
Efficiently searching the optimal design space, Proceedings Ninth Great Lakes Symposium on VLSI, pp.192-195, 1999. ,
DOI : 10.1109/GLSV.1999.757408
Efficient optimal design space characterization methodologies, ACM Transactions on Design Automation of Electronic Systems, vol.5, issue.3, pp.322-336, 2000. ,
DOI : 10.1145/348019.348058
Wattch : a framework for architectural-level power analysis and optimizations, Proceedings of the 27th International Symposium on Computer Architecture, 2000. ,
Statistical design space exploration for application-specific unit synthesis, Proceedings of the 38th conference on Design automation , DAC '01, pp.641-646, 2001. ,
DOI : 10.1145/378239.379039
The SimpleScalar tool set, version 2.0, ACM SIGARCH Computer Architecture News, vol.25, issue.3, 1997. ,
DOI : 10.1145/268806.268810
Introduction of system level architecture exploration using the SpecC methodology, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196), pp.9-12, 2001. ,
DOI : 10.1109/ISCAS.2001.921971
Comparison of SpecC and SystemC languages for system design, 2003. ,
Linux BYTEmark Benchmarks: A Performance Comparison of Embedded Mobile Processors, The 9th International Conference on Advanced Communication Technology, 2007. ,
DOI : 10.1109/ICACT.2007.358320
A general framework for analysing system properties in platform-based embedded system designs, 2003 Design, Automation and Test in Europe Conference and Exhibition, 2003. ,
DOI : 10.1109/DATE.2003.1253607
Performance evaluation of network processor architectures: combining simulation with analytical estimation, Computer Networks, vol.41, issue.5, pp.641-665, 2003. ,
DOI : 10.1016/S1389-1286(02)00454-1
Benchmarking Network Processors, Network Processor Design : Issues and Practices, pp.11-25, 2002. ,
DOI : 10.1016/B978-155860875-7.50020-X
An iterative algorithm for hardware-software partitioning, hardware design space exploration and scheduling Design Automation for Embedded Systems, pp.3-4281, 2000. ,
A solution methodology for exact design space exploration in a three-dimensional design space, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.69-81, 1997. ,
DOI : 10.1109/92.555988
Parallel Computer Architecture : A Hardware/Software Approach, The Morgan Kaufmann Series in Computer Architecture and Design, 1998. ,
YAPI : Application modeling for signal processing systems, 37th Design Automation Conference (DAC), pp.402-405, 2000. ,
WATSON : a multi-objective design space exploration tool for analog and RF IC design, IEEE 2002 Custom Integrated Circuits Conference, pp.31-34, 2002. ,
MOCSYN, Proceedings of the conference on Design, automation and test in Europe , DATE '99, pp.263-270, 1999. ,
DOI : 10.1145/307418.307502
Analysis of power dissipation in embedded systems using real-time operating systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.22, issue.5, 2003. ,
DOI : 10.1109/TCAD.2003.810745
Distributed design-space exploration for high-level synthesis systems, [1992] Proceedings 29th ACM/IEEE Design Automation Conference, pp.644-650, 1992. ,
DOI : 10.1109/DAC.1992.227806
Design of embedded systems: formal models, validation, and synthesis, Proceedings of the IEEE, vol.85, issue.3, pp.366-390, 1997. ,
DOI : 10.1109/5.558710
Performance analysis through synthetic trace generation, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422), pp.1-6, 2000. ,
DOI : 10.1109/ISPASS.2000.842273
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.217.3678
Describing instruction set processors using nML, Proceedings the European Design and Test Conference. ED&TC 1995, pp.503-507, 1995. ,
DOI : 10.1109/EDTC.1995.470354
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.409.3477
System design: traditional concepts and new paradigms, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040), pp.2-12, 1999. ,
DOI : 10.1109/ICCD.1999.808256
A design framework to efficiently explore energy-delay tradeoffs, Proceedings of the ninth international symposium on Hardware/software codesign , CODES '01, pp.260-265, 2001. ,
DOI : 10.1145/371636.371752
A sensitivity-based design space exploration methodology for embedded systems Design Automation for Embedded Systems, 2002. ,
A Network Processor Performance and Design Model with Benchmark Parameterization, Network Processor Design : Issues and Practices, pp.117-139, 2002. ,
DOI : 10.1016/B978-155860875-7.50024-7
Power Considerations in Network Processor Design, Second Workshop on Network Processors at the 9th International Symposium on High Performance Computer Architecture (HPCA9), 2003. ,
DOI : 10.1016/B978-012198157-0/50005-2
Modeling time in computing, ACM Computing Surveys, vol.42, issue.2, pp.1-59, 2010. ,
DOI : 10.1145/1667062.1667063
System-level exploration with SpecSyn, Proceedings of the 35th annual conference on Design automation conference , DAC '98, pp.812-817, 1998. ,
DOI : 10.1145/277044.277252
Automatic generation and targeting of application specific operating systems and embedded systems software, Design, Automation and Test in Europe (DATE), pp.679-685, 2001. ,
URL : https://hal.archives-ouvertes.fr/hal-00008084
Interface and cache power exploration for core-based embedded system design, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051), 1999. ,
DOI : 10.1109/ICCAD.1999.810660
System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.416-422, 2002. ,
Using binary translation in event driven simulation for fast and flexible MPSoC simulation, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, 2009. ,
DOI : 10.1145/1629435.1629446
URL : https://hal.archives-ouvertes.fr/hal-00472056
Tabu Search, 1997. ,
URL : https://hal.archives-ouvertes.fr/hal-01389283
gprof : A Call Graph Execution Profiler, Proceedings of the SIGPLAN '82 Symposium on Compiler Construction, SIGPLAN Notices, pp.120-126, 1982. ,
Algorithm-Architecture Trade-offs in Network Processor Design, Swiss Federal Institute of Technology (ETH), 2001. ,
Comparing analytical modeling with simulation for network processors: a case study, 2003 Design, Automation and Test in Europe Conference and Exhibition, 2003. ,
DOI : 10.1109/DATE.2003.1253838
Exploring Trade-Offs in Performance and Programmability of Processing Element Topologies for Network Processors, Second Workshop on Network Processors at the 9th International Symposium on High Performance Computer Architecture, 2003. ,
DOI : 10.1016/B978-012198157-0/50009-X
Methods for evaluating and covering the design space during early design development, Integration, the VLSI Journal, vol.38, issue.2, 2003. ,
DOI : 10.1016/S0167-9260(04)00032-X
System Design with SystemC, 2002. ,
MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), pp.3-14, 2001. ,
DOI : 10.1109/WWC.2001.990739
EXPRESSION, Proceedings of the conference on Design, automation and test in Europe , DATE '99, pp.485-490, 1999. ,
DOI : 10.1145/307418.307549
Compilation from Matlab to process networks realized in FPGA Design Automation for Embedded Systems, pp.385-403, 2002. ,
System design for flexibility, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.854-861, 2002. ,
DOI : 10.1109/DATE.2002.998399
TriMedia CPU64 design space exploration, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040), pp.599-606, 1999. ,
DOI : 10.1109/ICCD.1999.808603
A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281), 2001. ,
DOI : 10.1109/ICCAD.2001.968726
Multicriterion decision making, Handbook of Evolutionary Computation. Institute of Physics Publishing, 1997. ,
DOI : 10.1887/0750308958/b386c85
Efficient methods for embedded system design space exploration, Proceedings of the 37th conference on Design automation , DAC '00, pp.607-612, 2000. ,
DOI : 10.1145/337292.337593
A framework for user assisted design space exploration, 36th Design Automation Conference (DAC), pp.414-419, 1999. ,
Evaluation and Modeling of Power Consumption of a Heterogeneous Dual-Core Processor, International Conference on Parallel and Distributed Systems, 2007. ,
Communicating sequential processes, Communications of the ACM, vol.21, issue.8, pp.666-677, 1978. ,
DOI : 10.1145/359576.359585
The STATEMATE semantics of statecharts, ACM Transactions on Software Engineering and Methodology, vol.5, issue.4, pp.293-333, 1996. ,
DOI : 10.1145/235321.235322
Programming and verifying real-time systems by means of the synchronous data-flow language LUSTRE, IEEE Transactions on Software Engineering, vol.18, issue.9, pp.785-793, 1992. ,
DOI : 10.1109/32.159839
Models of Computation and Languages for Embedded System Design Special issue on Embedded Microelectronic Systems, IEEE Proceedings on Computers and Digital Techniques, pp.114-129, 2005. ,
Models of Embedded Computation, Embedded Systems Handbook, 2005. ,
DOI : 10.1201/9781420038163.ch4
The semantics of a simple language for parallel programming, Proceedings of the IFIP Congress, pp.471-475, 1974. ,
Design space exploration algorithm for heterogeneous multiprocessor embedded system design, 35th Design and Automation Conference (DAC), pp.82-87, 1998. ,
PICO: automatically designing custom computers, Computer, vol.35, issue.9, pp.39-47, 2002. ,
DOI : 10.1109/MC.2002.1033026
An approach for quantitative analysis of application-specific dataflow architectures, Proceedings IEEE International Conference on Application-Specific Systems, Architectures and Processors, 1997. ,
DOI : 10.1109/ASAP.1997.606839
Power efficient mediaprocessors, Proceedings of the 36th ACM/IEEE conference on Design automation conference , DAC '99, pp.321-326, 1999. ,
DOI : 10.1145/309847.309943
Design space exploration algorithm for heterogeneous multiprocessor embedded system design, 35th Design and Automation Conference (DAC), pp.82-87, 1998. ,
A performance estimation flow for embedded systems with mixed software/hardware modeling, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, 2011. ,
DOI : 10.1109/SAMOS.2011.6045459
URL : https://hal.archives-ouvertes.fr/hal-00662415
Waveperf, ACM SIGBED Review, vol.9, issue.2, 2012. ,
DOI : 10.1145/2318836.2318837
URL : https://hal.archives-ouvertes.fr/hal-00764409
MediaBench : a tool for evaluating and synthesizing multimedia and communications systems, Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, pp.330-335, 1997. ,
A methodology for architecture exploration of heterogeneous signal processing systems, 1999 IEEE Workshop on Signal Processing Systems. SiPS 99. Design and Implementation (Cat. No.99TH8461), pp.197-207, 2001. ,
DOI : 10.1109/SIPS.1999.822323
Retargetable Compiler Technology for Embedded Systems -Tools and Applications, 2001. ,
Chess : Retargetable Code Generation for Embedded DSP Processors, Code Generation for Embedded Processors, pp.85-102, 1995. ,
DOI : 10.1007/978-1-4615-2323-9_5
Compilation Techniques and Tools for Embedded Processor Architectures, Hardware/Software Co-Design : Principles and Practise, 1997. ,
DOI : 10.1007/978-1-4757-2649-7_5
URL : https://hal.archives-ouvertes.fr/hal-01467211
Performance estimation of embedded software with instruction cache modeling, ACM Transactions on Design Automation of Electronic Systems, vol.4, issue.3, pp.257-279, 1999. ,
DOI : 10.1145/315773.315778
Network Calculus : A Theory of Deterministic Queuing Systems for the Internet, Number 2050 in LNCS, 2001. ,
System-level performance analysis for designing on-chip communication architectures, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.20, issue.6, pp.768-783, 2001. ,
DOI : 10.1109/43.924830
Efficient exploration of the SoC communication architecture design space, IEEE/ACM International Conference on Computer Aided Design. ICCAD, 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140), pp.424-430, 2000. ,
DOI : 10.1109/ICCAD.2000.896509
Performance analysis of systems with multi-channel communication architectures, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design, pp.530-537, 2000. ,
DOI : 10.1109/ICVD.2000.812662
Full System Simulation and Verification Framework, Fifth International Conference on Information Assurance and Security IAS '09, 2009. ,
Synchronous data flow, Proceedings of the IEEE, vol.75, issue.9, pp.1235-1245, 1987. ,
DOI : 10.1109/PROC.1987.13876
Functional level power analysis: an efficient approach for modeling the power consumption of complex processors, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004. ,
DOI : 10.1109/DATE.2004.1268921
URL : https://hal.archives-ouvertes.fr/hal-00013979
NetBench: a benchmarking suite for network processors, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281), 2001. ,
DOI : 10.1109/ICCAD.2001.968595
Functional abstraction driven design space exploration of heterogeneous programmable architectures, Proceedings of the 14th international symposium on Systems synthesis , ISSS '01, pp.256-261, 2001. ,
DOI : 10.1145/500001.500061
Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation, Workshop on Languages, Compilers, and Tools for Embedded Systems (LCTES), 2002. ,
Developing architectural platforms: a disciplined approach, IEEE Design & Test of Computers, vol.19, issue.6, pp.6-16, 2002. ,
DOI : 10.1109/MDT.2002.1047739
CACTI 6.0 : A Tool to Model Large Caches, International Symposium on Microarchitecture, 2007. ,
Mixed SW/SystemC SoC Emulation Framework, 2007 IEEE International Symposium on Industrial Electronics, 2007. ,
DOI : 10.1109/ISIE.2007.4374971
A Formal Methodology for Estimating the Feasible Processor Solution Space for a Software Radio, Proceeding of the SDR 05 Technical Conference and Product Exposition, 2005. ,
Dynamic Binary Analysis and Instrumentation, PhD Dissertation, 2004. ,
Accurate energy characterization of OS services in embedded systems, EURASIP Journal on Embedded Systems, vol.2012, issue.1, 2012. ,
DOI : 10.1186/1687-3963-2012-6
URL : https://hal.archives-ouvertes.fr/hal-00770584
Cours d'Economie Politique. F.Rouge, p.1896 ,
Retargeting of compiled simulators for digital signal processors using a machine description language, Design, Automation and Test in Europe Conference (DATE), pp.669-673, 2000. ,
LISA-machine description language for cycleaccurate models of programmable DSP architectures, 36th Design Automation Conference (DAC), pp.933-938, 1999. ,
Exploring embedded-systems architectures with Artemis, Computer, vol.34, issue.11, pp.57-63, 2001. ,
DOI : 10.1109/2.963445
Towards efficient design space exploration of heterogeneous embedded media systems In Embedded processor design challenges. Systems, architectures, modeling, and simulation -SAMOS, LNCS, vol.2268, pp.57-73, 2002. ,
Function-Level Power Estimation Methodology for Microprocessors, IEEE Design Automation Conference, 2000. ,
Using the SimOS machine simulator to study complex computer systems, ACM Transactions on Modeling and Computer Simulation, vol.7, issue.1, pp.78-103, 1997. ,
DOI : 10.1145/244804.244807
Hierarchical design space exploration for a class of digital systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.282-295, 1993. ,
MARTE : A New OMG Profile RFP for the Modeling and Analysis of Real-Time Embedded Systems, DAC 2005 Workshop UML for SoC Design, UML-SoC'05, 2005. ,
OSCI White paper : Transaction Level Modeling in SystemC ,
An Efficient Power Estimation Methodology for Complex RISC Processor based Embedded Platforms, 22nd Great Lakes Symposium on VLSI (GLSVLSI 2012), 2012. ,
A system level design methodology for the optimization of heterogeneous multiprocessors, Eighth International Symposium on System Synthesis, pp.162-167, 1995. ,
Design space exploration in system level synthesis under memory constraints, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium, pp.29-36, 1999. ,
DOI : 10.1109/EURMIC.1999.794441
Abstract, Multifaceted Modeling of Embedded Processors for System Level Design, 2007 Asia and South Pacific Design Automation Conference, pp.384-389, 2007. ,
DOI : 10.1109/ASPDAC.2007.358016
Spacewalker : Automated design space exploration for embedded computer systems, HPL-2001-220, 2001. ,
JouleTrack-a Web based tool for software energy profiling, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), 2001. ,
DOI : 10.1109/DAC.2001.935508
SoftExplorer: Estimating and Optimizing the Power and Energy Consumption of a C Program for DSP Applications, Eurasip Journal on Applied Processing ,
DOI : 10.1155/ASP.2005.2641
URL : https://hal.archives-ouvertes.fr/hal-00077302
Formal models for embedded system design, IEEE Design & Test of Computers, vol.17, issue.2, pp.14-27, 2000. ,
DOI : 10.1109/54.844330
Open-People: Open Power and Energy Optimization PLatform and Estimator, 2012 15th Euromicro Conference on Digital System Design, 2012. ,
DOI : 10.1109/DSD.2012.98
URL : https://hal.archives-ouvertes.fr/hal-00664206
A Benchmarking Methodology for Network Processors, Network Processor Design : Issues and Practices, pp.141-165, 2002. ,
DOI : 10.1016/B978-155860875-7.50025-9
Fast and precise WCET prediction by separate cache and path analyses, Real-Time Systems, vol.18, issue.2/3, pp.157-179, 2000. ,
DOI : 10.1023/A:1008141130870
Design Space Exploration of Network Processor Architectures, Network Processor Design : Issues and Practices, pp.55-89, 2002. ,
DOI : 10.1016/B978-155860875-7.50022-3
Embedded Software in Network Processors ??? Models and Algorithms, First Workshop on Embedded Software (EMSOFT), pp.416-434, 2001. ,
DOI : 10.1007/3-540-45449-7_29
High-level Software Energy Macromodeling, IEEE Design Automation Conference, 2001. ,
Embedded operating system energy analysis and macro-modeling, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2002. ,
DOI : 10.1109/ICCD.2002.1106822
Trace-driven memory simulation: a survey, ACM Computing Surveys, vol.29, issue.2, pp.128-170, 1997. ,
DOI : 10.1145/254180.254184
CommBench-a telecommunications benchmark for network processors, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422), pp.154-162, 2000. ,
DOI : 10.1109/ISPASS.2000.842295
The SPLASH-2 programs : Characterization and methodological considerations, 22nd International Symposium on Computer Architecture (ISCA), pp.24-36, 1995. ,
Multi-view operation-level design supporting the design of irregular ASIPs, 2003. ,
System-level performance optimization of the data queueing memory management in highspeed network processors, 39th Design Automation Conference (DAC), 2002. ,
Design space exploration of streaming multiprocessor architectures, IEEE Workshop on Signal Processing Systems, pp.228-234, 2002. ,
DOI : 10.1109/SIPS.2002.1049714