. La-figure-5, 15 représente l'erreur d'estimation des différents benchmarks, en utilisant le projet COMCAS ou notre approche pour une plateforme OMAP4. Nous avons aussi utilisé plusieurs fréquences de processeur pour effectuer les comparaisons. ? Les diagrammes nommés " High level model

?. Les-diagrammes-nommés, COMCAS " montrent l'erreur d'estimation obtenuè a partir de QEMU par rapportàrapportà la performance de la plate-forme réelle

. Publications, F. B. Kriegel, A. Pegatoquet, and M. Auguin, Power optimization technique applied to real-time video application Demonstration and poster. [NAN10] Joffrey Kriegel, Marius Gligor, Fabien Colas-Bigey, Frédéric Petrot " QEMU-based virtual prototyping Power optimization technique applied to real-time video application, SAME Forum Demonstration and poster. [WUP11] Joffrey Kriegel Workshop on Ultra-Low Power Sensor Networks (WUPS), 2010.

I. Como, A. Kriegel, F. Pegatoquet, M. Broekaert, A. Kriegel et al., A High-Level Benchmarks Generator for Multi-Core Platforms Running Real-Time Applications A Performance Estimation Flow for Embedded Systems with Mixed Software/Hardware Modeling A Performance Estimation Flow for Embedded Systems with Mixed Software/Hardware Modeling A Performance Estimation Flow for Embedded Systems with Mixed Software/Hardware Modeling Waveperf : A Benchmark Generator for Performance EvaluationSoftware Modeling Framework for Rapid Performance Estimation, Journée des doctorants du LEAT International Conference on Embedded Computer Systems : Architectures, Modeling, and Simulation (SAMOS) 10th IEEE International NEWCAS Conference, 2011.

H. Décodeur-vidéo, 264 s'exécutant sur un processeur ARM Cortex-A8 avec différents paramètres matériel, p.41

]. I. Bibliographie1, M. Ahmad, C. Dhodhi, and . Chen, Integrated scheduling, allocation and module selection for design-space exploration in high-level synthesis, IEE Proceedings -Computers and Digital Techniques, pp.65-71, 1995.

G. Ascia, V. Catania, and M. Palesi, Design space exploration methodologies for IP-based systemon-a-chip, IEEE International Symposium on Circuits and Systems, pp.364-367, 2002.

G. Ascia, V. Catania, and M. Palesi, A framework for design space exploration of parameterized VLSI systems, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design, pp.245-250, 2002.
DOI : 10.1109/ASPDAC.2002.994930

R. B. Atitallah, E. Senn, D. Chillet, M. Lanoe, and D. Blouin, An Efficient Framework for Power-Aware Design of Heterogeneous MPSoC, IEEE TRANSACTIONS ON INDUSTRIAL INFORMAT- ICS, c IEEE PRESS, 2012.
DOI : 10.1109/TII.2012.2198657

URL : https://hal.archives-ouvertes.fr/hal-00921900

M. Auguin, L. Capella, F. Cuesta, and E. Gresset, CODEF: a system level design space exploration tool, 2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.01CH37221), pp.1145-1148, 2001.
DOI : 10.1109/ICASSP.2001.941124

J. Axelsson, Architecture synthesis and partitioning of real-time systems: a comparison of three heuristic search strategies, Proceedings of 5th International Workshop on Hardware/Software Co Design. Codes/CASHE '97, pp.161-165, 1997.
DOI : 10.1109/HSC.1997.584596

A. Baghdadi, N. Zergainoh, W. Cesario, T. Roudier, and A. Jerraya, Design space exploration for hardware/software codesign of multiprocessor systems, Proceedings 11th International Workshop on Rapid System Prototyping. RSP 2000. Shortening the Path from Specification to Prototype (Cat. No.PR00668), pp.8-13, 2000.
DOI : 10.1109/IWRSP.2000.854975

URL : https://hal.archives-ouvertes.fr/hal-00008096

F. Balarin, M. Chiodo, P. Giusto, H. Hsieh, A. Jurecska et al., Hardware-Software Co-Design of Embedded Systems : The Polis Approach, Number 404 in International Series in Engineering and Computer Science, 1997.
DOI : 10.1007/978-1-4615-6127-9

F. Balarin, M. Chiodo, A. Jurecska, L. Lavagno, B. Tabbara et al., Automatic generation of a real-time operating system for embedded systems, 5th International Workshop on Hardware/Software Co-Design (Codes/CASHE), 1997.

F. Balarin, Y. Watanabe, H. Hsieh, L. Lavagno, C. Paserone et al., Metropolis: an integrated electronic system design environment, Computer, vol.36, issue.4, pp.45-52, 2003.
DOI : 10.1109/MC.2003.1193228

M. Becker, G. Di-guglielmo, F. Fummi, W. Mueller, G. Pravadelli et al., RTOS-aware refinement for TLM2.0-based HW/SW designs, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), 2010.
DOI : 10.1109/DATE.2010.5456965

B. Fabrice, QEMU, a Fast and Portable Dynamic Translator, FREENIX Track : 2005 USENIX Annual Technical Conference

G. Berry and G. Gonthier, The Esterel synchronous programming language: design, semantics, implementation, Science of Computer Programming, vol.19, issue.2, pp.87-152, 1992.
DOI : 10.1016/0167-6423(92)90005-V

URL : https://hal.archives-ouvertes.fr/inria-00075711

T. Blickle, J. Teich, and L. Thiele, System-level synthesis using evolutionary algorithms Design Automation for Embedded Systems, pp.23-58, 1998.

S. Blythe and R. Walker, Toward a practical methodology for completely characterizing the optimal design space, Proceedings of 9th International Symposium on Systems Synthesis, pp.8-13, 1996.
DOI : 10.1109/ISSS.1996.565870

S. Blythe and R. Walker, Efficiently searching the optimal design space, Proceedings Ninth Great Lakes Symposium on VLSI, pp.192-195, 1999.
DOI : 10.1109/GLSV.1999.757408

S. Blythe and R. Walker, Efficient optimal design space characterization methodologies, ACM Transactions on Design Automation of Electronic Systems, vol.5, issue.3, pp.322-336, 2000.
DOI : 10.1145/348019.348058

D. Brooks, V. Tiwari, and M. Martonosi, Wattch : a framework for architectural-level power analysis and optimizations, Proceedings of the 27th International Symposium on Computer Architecture, 2000.

D. Bruni and A. B. Benini, Statistical design space exploration for application-specific unit synthesis, Proceedings of the 38th conference on Design automation , DAC '01, pp.641-646, 2001.
DOI : 10.1145/378239.379039

D. Burger and T. M. Austin, The SimpleScalar tool set, version 2.0, ACM SIGARCH Computer Architecture News, vol.25, issue.3, 1997.
DOI : 10.1145/268806.268810

L. Cai, D. Gajski, and M. Olivarez, Introduction of system level architecture exploration using the SpecC methodology, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196), pp.9-12, 2001.
DOI : 10.1109/ISCAS.2001.921971

L. Cai, S. Verma, and D. D. Gajski, Comparison of SpecC and SystemC languages for system design, 2003.

S. Cho and Y. Kim, Linux BYTEmark Benchmarks: A Performance Comparison of Embedded Mobile Processors, The 9th International Conference on Advanced Communication Technology, 2007.
DOI : 10.1109/ICACT.2007.358320

S. Chakraborty, S. Künzli, and L. Thiele, A general framework for analysing system properties in platform-based embedded system designs, 2003 Design, Automation and Test in Europe Conference and Exhibition, 2003.
DOI : 10.1109/DATE.2003.1253607

S. Chakraborty, S. Künzli, L. Thiele, A. Herkersdorf, and P. Sagmeister, Performance evaluation of network processor architectures: combining simulation with analytical estimation, Computer Networks, vol.41, issue.5, pp.641-665, 2003.
DOI : 10.1016/S1389-1286(02)00454-1

P. Chandra, F. Hady, R. Yavatkar, T. Bock, M. Cabot et al., Benchmarking Network Processors, Network Processor Design : Issues and Practices, pp.11-25, 2002.
DOI : 10.1016/B978-155860875-7.50020-X

K. Chatha and R. Vemuri, An iterative algorithm for hardware-software partitioning, hardware design space exploration and scheduling Design Automation for Embedded Systems, pp.3-4281, 2000.

S. Chaudhuri, S. Blythe, and R. Walker, A solution methodology for exact design space exploration in a three-dimensional design space, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.69-81, 1997.
DOI : 10.1109/92.555988

D. Culler, J. P. Singh, and A. Gupta, Parallel Computer Architecture : A Hardware/Software Approach, The Morgan Kaufmann Series in Computer Architecture and Design, 1998.

C. Projet, E. A. De-kock, G. Essink, W. J. Smits, P. Van-der-wolf et al., YAPI : Application modeling for signal processing systems, 37th Design Automation Conference (DAC), pp.402-405, 2000.

B. , D. Smedt, and G. Gielen, WATSON : a multi-objective design space exploration tool for analog and RF IC design, IEEE 2002 Custom Integrated Circuits Conference, pp.31-34, 2002.

R. P. Dick and N. K. Jha, MOCSYN, Proceedings of the conference on Design, automation and test in Europe , DATE '99, pp.263-270, 1999.
DOI : 10.1145/307418.307502

R. P. Dick, G. Lakshminarayana, A. Raghunathan, and N. K. Jha, Analysis of power dissipation in embedded systems using real-time operating systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.22, issue.5, 2003.
DOI : 10.1109/TCAD.2003.810745

R. Dutta, J. Roy, and R. Vemuri, Distributed design-space exploration for high-level synthesis systems, [1992] Proceedings 29th ACM/IEEE Design Automation Conference, pp.644-650, 1992.
DOI : 10.1109/DAC.1992.227806

S. Edwards, L. Lavagno, E. A. Lee, and A. Sangiovanni-vincentelli, Design of embedded systems: formal models, validation, and synthesis, Proceedings of the IEEE, vol.85, issue.3, pp.366-390, 1997.
DOI : 10.1109/5.558710

L. Eeckhout, K. De-bosschere, and H. Neefs, Performance analysis through synthetic trace generation, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422), pp.1-6, 2000.
DOI : 10.1109/ISPASS.2000.842273

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.217.3678

A. Fauth, J. Van-praet, and M. Freericks, Describing instruction set processors using nML, Proceedings the European Design and Test Conference. ED&TC 1995, pp.503-507, 1995.
DOI : 10.1109/EDTC.1995.470354

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.409.3477

A. Ferrari and A. Sangiovanni-vincentelli, System design: traditional concepts and new paradigms, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040), pp.2-12, 1999.
DOI : 10.1109/ICCD.1999.808256

W. Fornaciari, D. Sciuto, C. Silvano, and V. Zaccaria, A design framework to efficiently explore energy-delay tradeoffs, Proceedings of the ninth international symposium on Hardware/software codesign , CODES '01, pp.260-265, 2001.
DOI : 10.1145/371636.371752

W. Fornaciari, D. Sciuto, C. Silvano, and V. Zaccaria, A sensitivity-based design space exploration methodology for embedded systems Design Automation for Embedded Systems, 2002.

M. A. Franklin and T. Wolf, A Network Processor Performance and Design Model with Benchmark Parameterization, Network Processor Design : Issues and Practices, pp.117-139, 2002.
DOI : 10.1016/B978-155860875-7.50024-7

M. A. Franklin and T. Wolf, Power Considerations in Network Processor Design, Second Workshop on Network Processors at the 9th International Symposium on High Performance Computer Architecture (HPCA9), 2003.
DOI : 10.1016/B978-012198157-0/50005-2

C. A. Furia, D. Mandrioli, A. Morzenti, and M. Rossi, Modeling time in computing, ACM Computing Surveys, vol.42, issue.2, pp.1-59, 2010.
DOI : 10.1145/1667062.1667063

D. Gajski, F. Vahid, S. Narayan, and J. Gong, System-level exploration with SpecSyn, Proceedings of the 35th annual conference on Design automation conference , DAC '98, pp.812-817, 1998.
DOI : 10.1145/277044.277252

L. Gauthier, S. Yoo, and A. Jerraya, Automatic generation and targeting of application specific operating systems and embedded systems software, Design, Automation and Test in Europe (DATE), pp.679-685, 2001.
URL : https://hal.archives-ouvertes.fr/hal-00008084

T. Givargis, J. Henkel, and F. Vahid, Interface and cache power exploration for core-based embedded system design, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051), 1999.
DOI : 10.1109/ICCAD.1999.810660

T. Givargis, F. Vahid, and J. Henkel, System-level exploration for Pareto-optimal configurations in parameterized system-on-a-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.416-422, 2002.

M. Gligor, N. Fournel, and F. Pétrot, Using binary translation in event driven simulation for fast and flexible MPSoC simulation, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, 2009.
DOI : 10.1145/1629435.1629446

URL : https://hal.archives-ouvertes.fr/hal-00472056

F. Glover and M. Laguna, Tabu Search, 1997.
URL : https://hal.archives-ouvertes.fr/hal-01389283

S. Graham, P. Kessler, and M. Mckusick, gprof : A Call Graph Execution Profiler, Proceedings of the SIGPLAN '82 Symposium on Compiler Construction, SIGPLAN Notices, pp.120-126, 1982.

M. Gries, Algorithm-Architecture Trade-offs in Network Processor Design, Swiss Federal Institute of Technology (ETH), 2001.

M. Gries, C. Kulkarni, C. Sauer, and K. Keutzer, Comparing analytical modeling with simulation for network processors: a case study, 2003 Design, Automation and Test in Europe Conference and Exhibition, 2003.
DOI : 10.1109/DATE.2003.1253838

M. Gries, C. Kulkarni, C. Sauer, and K. Keutzer, Exploring Trade-Offs in Performance and Programmability of Processing Element Topologies for Network Processors, Second Workshop on Network Processors at the 9th International Symposium on High Performance Computer Architecture, 2003.
DOI : 10.1016/B978-012198157-0/50009-X

M. Gries, Methods for evaluating and covering the design space during early design development, Integration, the VLSI Journal, vol.38, issue.2, 2003.
DOI : 10.1016/S0167-9260(04)00032-X

T. Grotker, S. Liao, G. Martin, and S. Swan, System Design with SystemC, 2002.

M. Guthaus, J. Ringenberg, D. Ernst, T. Austin, T. Mudge et al., MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), pp.3-14, 2001.
DOI : 10.1109/WWC.2001.990739

A. Halambi, P. Grun, V. Ganesh, A. Khare, N. Dutt et al., EXPRESSION, Proceedings of the conference on Design, automation and test in Europe , DATE '99, pp.485-490, 1999.
DOI : 10.1145/307418.307549

T. Harriss, R. Walke, B. Kienhuis, and E. Deprettere, Compilation from Matlab to process networks realized in FPGA Design Automation for Embedded Systems, pp.385-403, 2002.

C. Haubelt, J. Teich, K. Richter, and R. Ernst, System design for flexibility, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.854-861, 2002.
DOI : 10.1109/DATE.2002.998399

G. Hekstra, G. L. Hei, P. Bingley, and F. Sijstermans, TriMedia CPU64 design space exploration, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040), pp.599-606, 1999.
DOI : 10.1109/ICCD.1999.808603

A. Hoffmann, O. Schliebusch, A. Nohl, G. Braun, and H. Meyr, A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281), 2001.
DOI : 10.1109/ICCAD.2001.968726

J. Horn, Multicriterion decision making, Handbook of Evolutionary Computation. Institute of Physics Publishing, 1997.
DOI : 10.1887/0750308958/b386c85

H. Hsieh, F. Balarim, L. Lavagno, and A. Sangiovanni-vincentelli, Efficient methods for embedded system design space exploration, Proceedings of the 37th conference on Design automation , DAC '00, pp.607-612, 2000.
DOI : 10.1145/337292.337593

X. Hu, G. Greenwood, S. Ravichandran, and G. Quan, A framework for user assisted design space exploration, 36th Design Automation Conference (DAC), pp.414-419, 1999.

C. Hsu, J. J. Chen, and S. Tsao, Evaluation and Modeling of Power Consumption of a Heterogeneous Dual-Core Processor, International Conference on Parallel and Distributed Systems, 2007.

C. A. Hoare, Communicating sequential processes, Communications of the ACM, vol.21, issue.8, pp.666-677, 1978.
DOI : 10.1145/359576.359585

D. Harel and A. Naamad, The STATEMATE semantics of statecharts, ACM Transactions on Software Engineering and Methodology, vol.5, issue.4, pp.293-333, 1996.
DOI : 10.1145/235321.235322

N. Halbwachs, F. Lagnier, and C. , Programming and verifying real-time systems by means of the synchronous data-flow language LUSTRE, IEEE Transactions on Software Engineering, vol.18, issue.9, pp.785-793, 1992.
DOI : 10.1109/32.159839

A. Jantsch and I. Sander, Models of Computation and Languages for Embedded System Design Special issue on Embedded Microelectronic Systems, IEEE Proceedings on Computers and Digital Techniques, pp.114-129, 2005.

A. Jantsch, Models of Embedded Computation, Embedded Systems Handbook, 2005.
DOI : 10.1201/9781420038163.ch4

G. Kahn, The semantics of a simple language for parallel programming, Proceedings of the IFIP Congress, pp.471-475, 1974.

I. Karkowski and H. Corporaal, Design space exploration algorithm for heterogeneous multiprocessor embedded system design, 35th Design and Automation Conference (DAC), pp.82-87, 1998.

V. Kathail, S. Aditya, R. Schreiber, B. R. Rau, D. Cronquist et al., PICO: automatically designing custom computers, Computer, vol.35, issue.9, pp.39-47, 2002.
DOI : 10.1109/MC.2002.1033026

B. Kienhuis, E. Deprettere, K. Vissers, P. Van, and . Wolf, An approach for quantitative analysis of application-specific dataflow architectures, Proceedings IEEE International Conference on Application-Specific Systems, Architectures and Processors, 1997.
DOI : 10.1109/ASAP.1997.606839

J. Kin, C. Lee, W. Mangione-smith, and M. Potkonjak, Power efficient mediaprocessors, Proceedings of the 36th ACM/IEEE conference on Design automation conference , DAC '99, pp.321-326, 1999.
DOI : 10.1145/309847.309943

I. Karkowski and H. Corporaal, Design space exploration algorithm for heterogeneous multiprocessor embedded system design, 35th Design and Automation Conference (DAC), pp.82-87, 1998.

J. Kriegel, A. Pegatoquet, M. Auguin, and F. Broekaert, A performance estimation flow for embedded systems with mixed software/hardware modeling, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, 2011.
DOI : 10.1109/SAMOS.2011.6045459

URL : https://hal.archives-ouvertes.fr/hal-00662415

J. Kriegel, F. Broekaert, M. Auguin, and A. Pegatoquet, Waveperf, ACM SIGBED Review, vol.9, issue.2, 2012.
DOI : 10.1145/2318836.2318837

URL : https://hal.archives-ouvertes.fr/hal-00764409

C. Lee, M. Potkonjak, and W. Mangione-smith, MediaBench : a tool for evaluating and synthesizing multimedia and communications systems, Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, pp.330-335, 1997.

P. Lieverse, P. Van-der-wolf, K. Vissers, and E. Deprettere, A methodology for architecture exploration of heterogeneous signal processing systems, 1999 IEEE Workshop on Signal Processing Systems. SiPS 99. Design and Implementation (Cat. No.99TH8461), pp.197-207, 2001.
DOI : 10.1109/SIPS.1999.822323

R. Leupers and P. Marwedel, Retargetable Compiler Technology for Embedded Systems -Tools and Applications, 2001.

D. Lanneer, J. Van-praet, A. Kifli, K. Schoofs, W. Geurts et al., Chess : Retargetable Code Generation for Embedded DSP Processors, Code Generation for Embedded Processors, pp.85-102, 1995.
DOI : 10.1007/978-1-4615-2323-9_5

C. Liem and P. Paulin, Compilation Techniques and Tools for Embedded Processor Architectures, Hardware/Software Co-Design : Principles and Practise, 1997.
DOI : 10.1007/978-1-4757-2649-7_5

URL : https://hal.archives-ouvertes.fr/hal-01467211

Y. S. Li, S. Malik, and A. Wolfe, Performance estimation of embedded software with instruction cache modeling, ACM Transactions on Design Automation of Electronic Systems, vol.4, issue.3, pp.257-279, 1999.
DOI : 10.1145/315773.315778

J. Boudec and P. Thiran, Network Calculus : A Theory of Deterministic Queuing Systems for the Internet, Number 2050 in LNCS, 2001.

K. Lahiri, A. Raghunathan, and S. Dey, System-level performance analysis for designing on-chip communication architectures, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.20, issue.6, pp.768-783, 2001.
DOI : 10.1109/43.924830

K. Lahiri, A. Raghunathan, and S. Dey, Efficient exploration of the SoC communication architecture design space, IEEE/ACM International Conference on Computer Aided Design. ICCAD, 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140), pp.424-430, 2000.
DOI : 10.1109/ICCAD.2000.896509

K. Lahiri, A. Raghunathan, and S. Dey, Performance analysis of systems with multi-channel communication architectures, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design, pp.530-537, 2000.
DOI : 10.1109/ICVD.2000.812662

C. Jing-wun-lin, C. Wang, C. Chang, K. Chen, . Lee et al., Full System Simulation and Verification Framework, Fifth International Conference on Information Assurance and Security IAS '09, 2009.

E. A. Lee and D. G. Messerschmitt, Synchronous data flow, Proceedings of the IEEE, vol.75, issue.9, pp.1235-1245, 1987.
DOI : 10.1109/PROC.1987.13876

J. Laurent, N. Julien, and E. Martin, Functional level power analysis: an efficient approach for modeling the power consumption of complex processors, Proceedings Design, Automation and Test in Europe Conference and Exhibition, 2004.
DOI : 10.1109/DATE.2004.1268921

URL : https://hal.archives-ouvertes.fr/hal-00013979

G. Memik, W. H. Mangione-smith, and W. Hu, NetBench: a benchmarking suite for network processors, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281), 2001.
DOI : 10.1109/ICCAD.2001.968595

P. Mishra, N. Dutt, and A. Nicolau, Functional abstraction driven design space exploration of heterogeneous programmable architectures, Proceedings of the 14th international symposium on Systems synthesis , ISSS '01, pp.256-261, 2001.
DOI : 10.1145/500001.500061

S. Mohanty, V. K. Prasanna, S. Neema, and J. Davis, Rapid design space exploration of heterogeneous embedded systems using symbolic search and multi-granular simulation, Workshop on Languages, Compilers, and Tools for Embedded Systems (LCTES), 2002.

A. Mihal, C. Kulkarni, K. Vissers, M. Moskewicz, M. Tsai et al., Developing architectural platforms: a disciplined approach, IEEE Design & Test of Computers, vol.19, issue.6, pp.6-16, 2002.
DOI : 10.1109/MDT.2002.1047739

N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi, CACTI 6.0 : A Tool to Model Large Caches, International Symposium on Microarchitecture, 2007.

M. Monton, A. Portero, M. Moreno, B. Martinez, and J. Carrabina, Mixed SW/SystemC SoC Emulation Framework, 2007 IEEE International Symposium on Industrial Electronics, 2007.
DOI : 10.1109/ISIE.2007.4374971

J. Neel, P. Robert, and J. Reed, A Formal Methodology for Estimating the Feasible Processor Solution Space for a Software Radio, Proceeding of the SDR 05 Technical Conference and Product Exposition, 2005.

N. Nethercote, Dynamic Binary Analysis and Instrumentation, PhD Dissertation, 2004.

B. Ouni, C. Belleudy, and E. Senn, Accurate energy characterization of OS services in embedded systems, EURASIP Journal on Embedded Systems, vol.2012, issue.1, 2012.
DOI : 10.1186/1687-3963-2012-6

URL : https://hal.archives-ouvertes.fr/hal-00770584

V. Pareto, Cours d'Economie Politique. F.Rouge, p.1896

S. Pees, A. Hoffmann, and H. Meyr, Retargeting of compiled simulators for digital signal processors using a machine description language, Design, Automation and Test in Europe Conference (DATE), pp.669-673, 2000.

S. Pees, A. Hoffmann, V. Zivojnovic, and H. Meyr, LISA-machine description language for cycleaccurate models of programmable DSP architectures, 36th Design Automation Conference (DAC), pp.933-938, 1999.

A. Pimentel, L. Hertzberger, P. Lieverse, P. Van-der-wolf, and E. Deprettere, Exploring embedded-systems architectures with Artemis, Computer, vol.34, issue.11, pp.57-63, 2001.
DOI : 10.1109/2.963445

A. Pimentel, S. Polstra, F. Terpstra, A. Van-halderen, J. Coffland et al., Towards efficient design space exploration of heterogeneous embedded media systems In Embedded processor design challenges. Systems, architectures, modeling, and simulation -SAMOS, LNCS, vol.2268, pp.57-73, 2002.

G. Patterson, N. Qu, K. Kawabe, M. Usaini, and . Potkonjak, Function-Level Power Estimation Methodology for Microprocessors, IEEE Design Automation Conference, 2000.

M. Rosenblum, E. Bugnion, S. Devine, and S. Herrod, Using the SimOS machine simulator to study complex computer systems, ACM Transactions on Modeling and Computer Simulation, vol.7, issue.1, pp.78-103, 1997.
DOI : 10.1145/244804.244807

D. S. Rao and F. Kurdahi, Hierarchical design space exploration for a class of digital systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.282-295, 1993.

L. Rioux, T. Saunier, S. Gerard, A. Radermacher, R. De-simone et al., MARTE : A New OMG Profile RFP for the Modeling and Analysis of Real-Time Embedded Systems, DAC 2005 Workshop UML for SoC Design, UML-SoC'05, 2005.

A. Rose, S. Swan, J. Pierce, and J. Fernandez, OSCI White paper : Transaction Level Modeling in SystemC

S. K. Rethinagiri, R. B. Atitallah, E. Senn, J. Dekeyser, and S. Niar, An Efficient Power Estimation Methodology for Complex RISC Processor based Embedded Platforms, 22nd Great Lakes Symposium on VLSI (GLSVLSI 2012), 2012.

M. Schwiegershausen and P. Pirsch, A system level design methodology for the optimization of heterogeneous multiprocessors, Eighth International Symposium on System Synthesis, pp.162-167, 1995.

R. Szymanek and K. Kuchcinski, Design space exploration in system level synthesis under memory constraints, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium, pp.29-36, 1999.
DOI : 10.1109/EURMIC.1999.794441

G. Schirner, A. Gerstlauer, and R. Domer, Abstract, Multifaceted Modeling of Embedded Processors for System Level Design, 2007 Asia and South Pacific Design Automation Conference, pp.384-389, 2007.
DOI : 10.1109/ASPDAC.2007.358016

G. Snider, Spacewalker : Automated design space exploration for embedded computer systems, HPL-2001-220, 2001.

A. Sinha and A. Chandrakasan, JouleTrack-a Web based tool for software energy profiling, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), 2001.
DOI : 10.1109/DAC.2001.935508

E. Senn, J. Laurent, N. Julien, and E. Martin, SoftExplorer: Estimating and Optimizing the Power and Energy Consumption of a C Program for DSP Applications, Eurasip Journal on Applied Processing
DOI : 10.1155/ASP.2005.2641

URL : https://hal.archives-ouvertes.fr/hal-00077302

M. Sgroi, L. Lavagno, and A. Sangiovanni-vincentelli, Formal models for embedded system design, IEEE Design & Test of Computers, vol.17, issue.2, pp.14-27, 2000.
DOI : 10.1109/54.844330

E. Senn, C. Belleudy, D. Chillet, A. Fritsch, R. B. Atitallah et al., Open-People: Open Power and Energy Optimization PLatform and Estimator, 2012 15th Euromicro Conference on Digital System Design, 2012.
DOI : 10.1109/DSD.2012.98

URL : https://hal.archives-ouvertes.fr/hal-00664206

M. Tsai, C. Kulkarni, C. Sauer, N. Shah, and K. Keutzer, A Benchmarking Methodology for Network Processors, Network Processor Design : Issues and Practices, pp.141-165, 2002.
DOI : 10.1016/B978-155860875-7.50025-9

H. Theiling, C. Ferdinand, and R. Wilhelm, Fast and precise WCET prediction by separate cache and path analyses, Real-Time Systems, vol.18, issue.2/3, pp.157-179, 2000.
DOI : 10.1023/A:1008141130870

L. Thiele, S. Chakraborty, M. Gries, and S. Kunzli, Design Space Exploration of Network Processor Architectures, Network Processor Design : Issues and Practices, pp.55-89, 2002.
DOI : 10.1016/B978-155860875-7.50022-3

L. Thiele, S. Chakraborty, M. Gries, A. Maxiaguine, and J. Greutert, Embedded Software in Network Processors ??? Models and Algorithms, First Workshop on Embedded Software (EMSOFT), pp.416-434, 2001.
DOI : 10.1007/3-540-45449-7_29

T. K. Tan, G. Raghunathant, N. K. Lakshminarayanat, and . Jha, High-level Software Energy Macromodeling, IEEE Design Automation Conference, 2001.

T. K. Tan, N. K. Raghunathan, and . Jha, Embedded operating system energy analysis and macro-modeling, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2002.
DOI : 10.1109/ICCD.2002.1106822

R. Uhlig and T. Mudge, Trace-driven memory simulation: a survey, ACM Computing Surveys, vol.29, issue.2, pp.128-170, 1997.
DOI : 10.1145/254180.254184

T. Wolf and M. Franklin, CommBench-a telecommunications benchmark for network processors, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422), pp.154-162, 2000.
DOI : 10.1109/ISPASS.2000.842295

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, The SPLASH-2 programs : Characterization and methodological considerations, 22nd International Symposium on Computer Architecture (ISCA), pp.24-36, 1995.

S. J. Weber, M. W. Moskewicz, M. Low, and K. Keutzer, Multi-view operation-level design supporting the design of irregular ASIPs, 2003.

C. Ykman-couvreur, J. Lambrecht, D. Verkest, F. Catthoor, A. Nikologiannis et al., System-level performance optimization of the data queueing memory management in highspeed network processors, 39th Design Automation Conference (DAC), 2002.

V. Zivkovic, E. Deprettere, P. Van-der-wolf, and E. De-kock, Design space exploration of streaming multiprocessor architectures, IEEE Workshop on Signal Processing Systems, pp.228-234, 2002.
DOI : 10.1109/SIPS.2002.1049714