C. Ailliot, Caractérisation par holographie électronique et simulation du dopage 2D sur substrat SOI ultra-mince, 2010.

L. F. Allard and E. Völkl, Optical Characteristics of an Holography Electron Microscope, Introduction to Electron Holography, 1999.
DOI : 10.1007/978-1-4615-4817-1_3

S. M. Allen, Foil thickness measurements from convergent-beam diffraction patterns, Philosophical Magazine A, vol.31, issue.2, pp.325-335, 1981.
DOI : 10.1080/01418618108239412

D. Alloyeau, C. Ricolleau, T. Oikawa, C. Langlois, Y. L. Bouar et al., STEM nanodiffraction technique for structural analysis of CoPt nanoparticles, Ultramicroscopy, vol.108, issue.7, pp.656-662, 2008.
DOI : 10.1016/j.ultramic.2007.10.006

Y. Androussi, T. Benabbas, and A. Lefebvre, Moir??-like fringes in transmission electron microscopy images of coherently strained semiconductor islands, Ultramicroscopy, vol.93, issue.2, pp.161-167, 2002.
DOI : 10.1016/S0304-3991(02)00156-0

K. Ang, K. Chui, V. Bliznetsov, C. Tung, A. Du et al., Lattice strain analysis of transistor structures with silicon???germanium and silicon???carbon source???drain stressors, Applied Physics Letters, vol.86, issue.9, p.93102, 2005.
DOI : 10.1063/1.1871351

A. Armigliato, R. Balboni, G. P. Carnevale, G. Pavia, D. Piccolo et al., Application of convergent beam electron diffraction to two-dimensional strain mapping in silicon devices, Applied Physics Letters, vol.82, issue.13, pp.82-2172, 2003.
DOI : 10.1063/1.1565181

A. Armigliato, R. Balboni, and S. Frabboni, nlinImproving spatial resolution of convergent beam electron diffraction strain mapping in silicon microstructures, Applied Physics Letters, vol.86, issue.6, p.63508, 2005.
DOI : 10.1063/1.1855408

A. Armigliato, R. Balboni, S. Frabboni, A. Benedetti, A. G. Cullis et al., Strain characterisation of shallow trench isolation structures on a nanometer scale by convergent beam electron diffraction, Materials Science in Semiconductor Processing, vol.4, issue.1-3, pp.1-3, 2001.
DOI : 10.1016/S1369-8001(00)00146-3

A. Armigliato, S. Frabboni, and G. C. Gazzadi, Electron diffraction with ten nanometer beam size for strain analysis of nanodevices, Applied Physics Letters, vol.93, issue.16, pp.93-161906, 2008.
DOI : 10.1063/1.3003581

A. Armigliato, I. D. Wolf, S. Lagomarsino, R. Balboni, S. Frabboni et al., State of the art of stress measurements in silicon, deliverable d8, workpackage wp3, STREAM CONSORTIUM: CNR-LAMEL, 2001.

N. Arora, Mosfet modeling for VLSI simulation: theory and practice, 2007.
DOI : 10.1142/6157

J. Ayache and P. H. Albarède, Application of the ionless tripod polisher to the preparation of YBCO superconducting multilayer and bulk ceramics thin films, Ultramicroscopy, vol.60, issue.2, pp.195-206, 1995.
DOI : 10.1016/0304-3991(95)00073-8

URL : https://hal.archives-ouvertes.fr/in2p3-00000561

J. F. Baker and M. Hart, An absolute measurement of the lattice parameter of germanium using multiple-beam X-ray diffractometry, Acta Crystallographica Section A, vol.31, issue.3, pp.31-364, 1975.
DOI : 10.1107/S0567739475000769

D. J. Barber, Radiation damage in ion-milled specimens: characteristics, effects and methods of damage limitation, Ultramicroscopy, vol.52, issue.1, pp.101-125, 1993.
DOI : 10.1016/0304-3991(93)90025-S

A. Barna, B. Pécz, and M. Menyhard, Amorphisation and surface morphology development at low-energy ion milling, Ultramicroscopy, vol.70, issue.3, pp.161-171, 1998.
DOI : 10.1016/S0304-3991(97)00120-4

S. Baudot, MOSFETs contraints sur SOI: Analyse des déformations par diffraction des rayons X et étude des propriétés électriques, 2006.

P. Bayle, T. Deutsch, B. Gilles, F. Lançon, A. Marty et al., Quantitative analysis of the deformation and chemical profiles of strained multilayers, Ultramicroscopy, vol.56, issue.1-3, pp.1-3, 1994.
DOI : 10.1016/0304-3991(94)90149-X

A. Béché, Mesure de déformation à l'échelle nanométrique par microscopie électronique en transmission, 2009.

A. Beche, J. L. Rouviere, L. Clement, and J. M. Hartmann, Improved precision in strain measurement using nanobeam electron diffraction, Applied Physics Letters, issue.12, pp.95-123114, 2009.

A. Béché, J. L. Rouvière, J. P. Barnes, C. , and D. , Dark field electron holography for strain measurement, Ultramicroscopy, vol.111, issue.3, pp.227-238, 2011.
DOI : 10.1016/j.ultramic.2010.11.030

P. Becker, P. Scyfried, and H. Siegert, The lattice parameter of highly pure silicon single crystals, Zeitschrift für Physik B Condensed Matter, pp.17-21, 1982.
DOI : 10.1007/BF02026423

A. Benedetti, H. Bender, and C. Torregiani, On the Splitting of High Order Laue Zone Lines in CBED Analysis of Stress in Silicon, Journal of The Electrochemical Society, vol.154, issue.3, pp.217-224, 2007.
DOI : 10.1149/1.2432060

E. Bernard, T. Ernst, B. Guillaumot, N. Vulliet, X. Garros et al., Multi-channel field-effect transistor (MCFET) ; Part II: analysis of gate stack and series resistance influence on the MCFET performance. Electron Devices, IEEE Transactions on, issue.6, pp.56-1252, 2009.

R. Bierwolf, M. Hohenstein, F. Phillipp, O. Brandt, G. E. Crook et al., Direct measurement of local lattice distortions in strained layer structures by HREM, Ultramicroscopy, vol.49, issue.1-4, pp.1-4, 1993.
DOI : 10.1016/0304-3991(93)90234-O

Y. Bogumilowicz, Epitaxie et gravure d'hétérostructures Si/Si 1?x Ge x pour application dans les technologies MOS, 2005.

M. Bohr, The invention of uniaxial strained silicon transistors at Intel, 2007.

N. Braidy, Y. L. Bouar, S. Lazar, and C. Ricolleau, Correcting scanning instabilities from images of periodic structures, Ultramicroscopy, vol.118, issue.0, pp.67-76, 2012.
DOI : 10.1016/j.ultramic.2012.04.001

O. Brandt, K. Ploog, R. Bierwolf, and M. Hohenstein, Breakdown of continuum elasticity theory in the limit of monatomic films, Physical Review Letters, vol.68, issue.9, pp.1339-1342, 1992.
DOI : 10.1103/PhysRevLett.68.1339

J. C. Bravman and R. Sinclair, The preparation of cross-section specimens for transmission electron microscopy, Journal of Electron Microscopy Technique, vol.127, issue.1, pp.53-61, 1984.
DOI : 10.1002/jemt.1060010106

J. Cagnon, Analyse quantitative des intensités diffractées en microscopie électronique en transmission : Application à la caractérisation locale de la composition chimique et des contraintes dans les nanostructures quantiques semi-conductrices d'InGaAs/GaAs, 2004.

J. Cagnon, P. A. Buffat, P. A. Stadelmann, and K. Leifer, Theoretical and experimental limits of quantitative analysis of strain and chemistry of InGaAs/GaAs layers using (200) dark-field TEM imaging, Microscopy of Semiconducting Materials, Proccedings of the Royal Microscopical Society Conference, pp.203-206, 2003.

A. Cedola, S. Lagomarsino, F. Scarinci, M. Servidori, and V. Stanic, X-ray characterization of Si microstructures with high spatial resolution, Journal of Applied Physics, vol.95, issue.4, pp.1662-1666, 2004.
DOI : 10.1063/1.1635652

C. Chen, T. L. Lee, T. H. Hou, C. L. Chen, C. C. Chen et al., Stress memorization technique (SMT) by selectively strained-nitride capping for sub, 2004.

V. In and . Technology, Digest of Technical Papers, Symposium on, pp.56-57, 2004.

J. Chen and I. D. Wolf, Theoretical and experimental Raman spectroscopy study of mechanical stress induced by electronic packaging. Components and Packaging Technologies, IEEE Transactions, vol.28, pp.484-492, 2005.

N. G. Chew and A. G. Cullis, The preparation of transmission electron microscope specimens from compound semiconductors by ion milling, Ultramicroscopy, vol.23, issue.2, pp.175-198, 1987.
DOI : 10.1016/0304-3991(87)90163-X

J. Chung, G. Lian, R. , and L. , Practical and Reproducible Mapping of Strains in Si Devices Using Geometric Phase Analysis of Annular Dark-Field Images From Scanning Transmission Electron Microscopy, IEEE Electron Device Letters, vol.31, issue.8, pp.854-856, 2010.
DOI : 10.1109/LED.2010.2049562

L. Clement, R. Pantel, L. F. Kwakman, and J. L. And-rouviere, Strain measurements by convergent-beam electron diffraction: The importance of stress relaxation in lamella preparations, Applied Physics Letters, vol.85, issue.4, pp.651-653, 2004.
DOI : 10.1063/1.1774275

F. Conzatti, N. Serra, D. Esseni, M. De-michielis, A. Paussa et al., Investigation of strain engineering in FinFETs comprising experimental analysis and numerical simulations. Electron Devices, IEEE Transactions on, issue.6, pp.58-1583, 2011.

D. Cooper, C. Ailliot, J. Barnes, J. Hartmann, P. Salles et al., Dopant profiling of focused ion beam milled semiconductors using off-axis electron holography; reducing artifacts, extending detection limits and reducing the effects of gallium implantation, Ultramicroscopy, vol.110, issue.5, pp.110-383, 2010.
DOI : 10.1016/j.ultramic.2010.02.001

D. Cooper, J. P. Barnes, J. M. Hartmann, A. Béché, and J. L. And-rouvière, Dark field electron holography for quantitative strain measurements with nanometer-scale spatial resolution, Applied Physics Letters, vol.95, issue.5, pp.95-053501, 2009.
DOI : 10.1063/1.3196549

D. Cooper, A. Beche, J. M. Hartmann, V. Carron, and J. L. And-rouviere, Strain evolution during the silicidation of nanometer-scale SiGe semiconductor devices studied by dark field electron holography, Applied Physics Letters, vol.96, issue.11, pp.96-113508, 2010.
DOI : 10.1063/1.3358149

B. Cooper, D. Beche, A. Hartmann, J. M. Carron, V. And-rouviere et al., Strain mapping for the semiconductor industry by dark-field electron holography and nanobeam electron diffraction with nm resolution, Semiconductor Science and Technology, vol.25, issue.9, p.95012, 2010.
DOI : 10.1088/0268-1242/25/9/095012

D. Cooper, J. Rouviere, A. Beche, S. Kadkhodazadeh, E. S. Semenova et al., Quantitative strain mapping of InAs/InP quantum dots with 1???nm spatial resolution using dark field electron holography, Applied Physics Letters, vol.99, issue.26, pp.99-261911, 2011.
DOI : 10.1063/1.3672194

D. Cooper, C. L. Royer, A. Beche, and J. And-rouviere, Strain mapping for the silicon-on-insulator generation of semiconductor devices by high-angle annular dark field scanning electron transmission microscopy, Applied Physics Letters, vol.100, issue.23, pp.100-233121, 2012.
DOI : 10.1063/1.4723572

D. Cooper, A. C. Twitchett, P. K. Somodi, P. A. Midgley, R. E. Dunin-borkowski et al., annealing, Applied Physics Letters, vol.88, issue.6, p.63510, 2006.
DOI : 10.1063/1.2172068

J. Cowley, Twenty forms of electron holography, Ultramicroscopy, vol.41, issue.4, pp.335-348, 1992.
DOI : 10.1016/0304-3991(92)90213-4

A. V. Crewe, D. N. Eggenberger, J. Wall, and L. M. Welter, Electron Gun Using a Field Emission Source, Review of Scientific Instruments, vol.39, issue.4, pp.576-583, 1968.
DOI : 10.1063/1.1683435

A. Cuadras, B. Garrido, C. Bonafos, J. R. Morante, L. Fonseca et al., Oxidation of Si 1?x?y Ge x C y strained layers grown on Si: kinetics and interface properties, Microelectronics Reliability, vol.40, pp.4-5, 2000.

D. Salvador, D. Petrovich, M. Berti, M. Romanato, F. Napolitani et al., Lattice parameter of Si 1?x?y Ge x C y alloys, Physical Review B, pp.61-13005, 2000.

J. Demarest, R. Hull, K. T. Schonenberg, J. , and K. G. , Nanoscale characterization of stresses in semiconductor devices by quantitative electron diffraction, Applied Physics Letters, vol.77, issue.3, pp.77-412, 2000.
DOI : 10.1063/1.126993

M. I. Den-hertog, H. Schmid, D. Cooper, J. Rouviere, M. T. Björk et al., Mapping Active Dopants in Single Silicon Nanowires Using Off-Axis Electron Holography, Nano Letters, vol.9, issue.11, pp.9-3837, 2009.
DOI : 10.1021/nl902024h

H. Ding, W. Chen, and L. Zhang, Basic equations of anisotropic elasticity, Elasticity of Transversely Isotropic Materials volume of Solid Mechanics and Its Applications, pp.1-27, 2006.

J. P. Dismukes, L. Ekstrom, and R. J. Paff, Lattice parameter and density in germanium-silicon alloys, The Journal of Physical Chemistry, issue.10, pp.68-3021, 1964.

K. F. Dombrowski, B. Dietrich, I. D. Wolf, R. Rooyackers, and G. Badenes, Investigation of stress in shallow trench isolation using UV micro-Raman spectroscopy, Microelectronics Reliability, vol.41, issue.4, pp.511-515, 2001.
DOI : 10.1016/S0026-2714(00)00260-2

T. Drake, C. Chleirigh, M. Lee, A. Pitera, E. Fitzgerald et al., Fabrication of ultra-thin strained silicon on insulator, Journal of Electronic Materials, vol.17, issue.9, pp.972-975, 2003.
DOI : 10.1007/s11664-003-0232-x

K. Du, Y. Rau, N. Y. Jin-phillipp, and F. Philipp, Lattice distortion analysis directly from high resolution transmission electron microscopy images -the LADIA program package, Journal of Materials Science and Technology, issue.02, pp.18-135, 2002.

R. E. Dunin-borkowski, T. Kasama, A. Wei, S. L. Tripp, M. J. Hÿtch et al., Off-axis electron holography of magnetic nanowires and chains, rings, and planar arrays of magnetic nanoparticles, Microscopy Research and Technique, vol.87, issue.5-6, pp.5-6, 2004.
DOI : 10.1002/jemt.20098

R. E. Dunin-borkowski, S. B. Newcomb, T. Kasama, M. R. Mccartney, M. Weyland et al., Conventional and back-side focused ion beam milling for off-axis electron holography of electrostatic potentials in transistors, Ultramicroscopy, vol.103, issue.1, pp.67-81, 2005.
DOI : 10.1016/j.ultramic.2004.11.018

F. Durand and J. Duby, Carbon solubility in solid and liquid silicon???A review with reference to eutectic equilibrium, Journal of Phase Equilibria, vol.18, issue.6, pp.61-63, 1999.
DOI : 10.1361/105497199770335956

D. V. Dyck, H. Lichte, S. , and J. C. , Inelastic scattering and holography, Ultramicroscopy, pp.81-84, 2000.

K. Edinger, J. Melngailis, and J. Orloff, Study of precursor gases for focused ion beam insulator deposition, Papers from the 42nd international conference on electron, ion, and photon beam technology and nanofabrication volume, pp.3311-3314, 1998.
DOI : 10.1116/1.590497

J. Eymery, F. Leroy, and F. Fournel, GIXRD of nanoscale strain patterning in wafer bonding. Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with, Materials and Atoms, vol.200, pp.73-78, 2003.

P. Favia, M. B. Gonzales, E. Simoen, P. Verheyen, D. Klenov et al., Nanobeam Diffraction: Technique Evaluation and Strain Measurement on Complementary Metal Oxide Semiconductor Devices, Journal of The Electrochemical Society, vol.158, issue.4, pp.438-446, 2011.
DOI : 10.1149/1.3546851

M. Feraille, Etude du Transport dans les Transistors MOSFETs Contraints : Modélisation multiéchelle, 2009.

P. F. Fewster, X-ray diffraction from low-dimensional structures, Semiconductor Science and Technology, vol.8, issue.11, p.1915, 1993.
DOI : 10.1088/0268-1242/8/11/001

E. Finkman, F. Meyer, and M. Mamor, Short-range order and strain in SiGeC alloys probed by phonons, Journal of Applied Physics, vol.89, issue.5, pp.2580-2587, 2001.
DOI : 10.1063/1.1342802

P. Formanek and E. Bugiel, Specimen preparation for electron holography of semiconductor devices, Ultramicroscopy, vol.106, issue.4-5, pp.4-5, 2006.
DOI : 10.1016/j.ultramic.2005.11.002

P. Formanek and M. Kittler, Electron holography on silicon microstructures and its comparison to other microscopic techniques, Journal of Physics: Condensed Matter, vol.16, issue.2, p.193, 2004.
DOI : 10.1088/0953-8984/16/2/022

S. Frabboni, F. Gambetta, A. Armigliato, R. Balboni, S. Balboni et al., Lattice strain and static disorder determination in Si/Si 1?x Ge x /Si heterostructures by convergent beam electron diffraction, Physical Review B, issue.19, pp.60-13750, 1999.

S. Frabboni, G. Matteucci, G. Pozzi, and M. Vanzi, Junctions, Physical Review Letters, vol.55, issue.20, pp.2196-2199, 1985.
DOI : 10.1103/PhysRevLett.55.2196

T. Fujita, K. Yamamoto, M. R. Mccartney, and D. J. Smith, Reconstruction technique for off-axis electron holography using coarse fringes, Ultramicroscopy, vol.106, issue.6, pp.486-491, 2006.
DOI : 10.1016/j.ultramic.2006.01.003

D. Gabor, A New Microscopic Principle, Nature, vol.161, issue.4098, pp.161-777, 1948.
DOI : 10.1038/161777a0

B. Gabor and D. , Microscopy by Reconstructed Wave Fronts: II, Proceedings of the Physical Society. Section B, vol.64, issue.6, pp.449-469, 1951.
DOI : 10.1088/0370-1301/64/6/301

D. Gabor, Holography, 1948-1971, Science, vol.177, issue.4046, pp.299-313, 1972.
DOI : 10.1126/science.177.4046.299

P. L. Galindo, S. Kret, A. M. Sanchez, J. Laval, A. Yáñez et al., The Peak Pairs algorithm for strain mapping from HRTEM images, Ultramicroscopy, vol.107, issue.12, pp.107-1186, 2007.
DOI : 10.1016/j.ultramic.2007.01.019

M. Ganchenkova and R. M. Nieminen, Chapter eleven -Mechanical properties of silicon microstructures, Handbook of Silicon Based MEMS Materials and Technologies, pp.179-219, 2010.

L. Giannuzzi, B. Prenitzer, and B. Kempshall, Ion - Solid Interactions, Introduction to Focused Ion Beams, pp.13-52, 2005.
DOI : 10.1007/0-387-23313-X_2

L. A. Giannuzzi, B. W. Kempshall, S. M. Schwarz, J. K. Lomness, B. I. Prenitzer et al., FIB Lift-Out Specimen Preparation Techniques, Introduction to Focused Ion Beams, pp.201-228, 2005.
DOI : 10.1007/0-387-23313-X_10

L. A. Giannuzzi and F. A. Stevie, A review of focused ion beam milling techniques for TEM specimen preparation, Micron, vol.30, issue.3, pp.197-204, 1999.
DOI : 10.1016/S0968-4328(99)00005-0

J. C. Gonzalez, D. P. Griffis, T. T. Miau, R. , and P. E. , Chemically enhanced focused ion beam micromachining of copper, The 45th international conference on electron, ion, and photon beam technology and nanofabrication volume, pp.2539-2542, 2001.
DOI : 10.1116/1.1418406

M. S. Goorsky, S. S. Iyer, K. Eberl, F. Legoues, J. Angilello et al., Thermal stability of Si 1?x C x /Si strained layer superlattices, Applied Physics Letters, issue.22, pp.60-2758, 1992.

A. Gouyé, F. Hüe, A. Halimaoui, O. Kermarrec, Y. Campidelli et al., Selective growth of tensily strained Si1???yCy films on patterned Si substrates, Materials Science in Semiconductor Processing, vol.12, issue.1-2, pp.34-39, 2009.
DOI : 10.1016/j.mssp.2009.07.006

J. Grams, New Trends and Potentialities of ToF-SIMS in Surface Studies, 2007.

M. A. Gribelyuk, M. R. Mccartney, J. Li, C. S. Murthy, P. Ronsheim et al., Mapping of Electrostatic Potential in Deep Submicron CMOS Devices by Electron Holography, Physical Review Letters, vol.89, issue.2, p.25502, 2002.
DOI : 10.1103/PhysRevLett.89.025502

C. Guedj, X. Portier, A. Hairie, D. Bouchier, G. Calvarin et al., Carbon and germanium distributions in Si1???x???yGexCy layers epitaxially grown on Si(001) by RTCVD, Thin Solid Films, vol.294, issue.1-2, pp.129-132, 1997.
DOI : 10.1016/S0040-6090(96)09243-7

M. E. Haine and J. Dyson, A Modification to Gabor's Proposed Diffraction Microscope, Nature, vol.197, issue.4216, pp.166-315, 1950.
DOI : 10.1038/166315a0

M. E. Haine and T. Mulvey, The Formation of the Diffraction Image with Electrons in the Gabor Diffraction Microscope, Journal of the Optical Society of America, vol.42, issue.10, pp.763-769, 1952.
DOI : 10.1364/JOSA.42.000763

K. Harada, A. Tonomura, Y. Togawa, T. Akashi, and T. Matsuda, Double-biprism electron interferometry, Applied Physics Letters, vol.84, issue.17, pp.3229-3231, 2004.
DOI : 10.1063/1.1715155

A. Harscher, H. Lichte, M. , and J. , Interference experiments with energy filtered electrons, Ultramicroscopy, vol.69, issue.3, pp.201-209, 1997.
DOI : 10.1016/S0304-3991(97)00046-6

J. Hartmann, F. Andrieu, D. Lafond, T. Ernst, Y. Bogumilowicz et al., Reduced Pressure???Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics, Materials Science and Engineering: B, vol.154, issue.155, pp.154-155, 2008.
DOI : 10.1016/j.mseb.2008.08.009

J. M. Hartmann, P. Holliger, F. Laugier, G. Rolland, A. Suhm et al., Growth of SiGe/Si superlattices on silicon-on-insulator substrates for multi-bridge channel field effect transistors, Journal of Crystal Growth, vol.283, issue.1-2, pp.57-67, 2005.
DOI : 10.1016/j.jcrysgro.2005.05.068

J. M. Hartmann, A. M. Papon, J. P. Barnes, and T. Billon, Growth kinetics of SiGe/Si superlattices on bulk and silicon-on-insulator substrates for multi-channel devices, Journal of Crystal Growth, vol.311, issue.11, pp.311-3152, 2009.
DOI : 10.1016/j.jcrysgro.2009.03.027

C. Himcinschi, M. Reiche, R. Scholz, S. H. Christiansen, and U. Gosele, Compressive uniaxially strained silicon on insulator by prestrained wafer bonding and layer transfer, Applied Physics Letters, vol.90, issue.23, pp.90-231909, 2007.
DOI : 10.1063/1.2747182

Y. Hiroyama and M. Tamura, observations of nucleation and coalescence stages in Ge growth on Si surfaces using transmission electron microscope combined with molecular beam epitaxy chamber, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.5, pp.2956-2960, 1998.
DOI : 10.1116/1.581445

T. Hom, W. Kiszenik, and B. Post, Accurate lattice constants from multiple reflection measurements. II. Lattice constants of germanium silicon, and diamond, Journal of Applied Crystallography, vol.8, issue.4, pp.457-458, 1975.
DOI : 10.1107/S0021889875010965

F. Houdellier, A. Masseboeuf, M. Monthioux, and M. J. Hÿtch, New carbon cone nanotip for use in a highly coherent cold field emission electron microscope, Carbon, vol.50, issue.5, pp.50-2037, 2012.
DOI : 10.1016/j.carbon.2012.01.023

URL : https://hal.archives-ouvertes.fr/hal-00678407

Z. Huang, Combining Ar ion milling with FIB lift-out techniques to prepare high quality site-specific TEM samples, Journal of Microscopy, vol.215, issue.3, pp.219-223, 2004.
DOI : 10.1111/j.0022-2720.2004.01376.x

F. Hüe, M. Hÿtch, F. Houdellier, H. Bender, and A. Claverie, Strain mapping of tensiley strained silicon transistors with embedded Si 1?y C y source and drain by dark-field holography, Applied Physics Letters, issue.7, pp.95-073103, 2009.

F. Hüe, M. Hÿtch, H. Bender, F. Houdellier, and A. Claverie, Direct mapping of strain in a strained silicon transistor by high-resolution electron microscopy, Physical Review Letters, issue.15, pp.100-156602, 2008.

F. Hüe, M. Hytch, F. Houdellier, E. Snoeck, and A. Claverie, Strain mapping in MOSFETS by high-resolution electron microscopy and electron holography, Materials Science and Engineering: B, pp.154-155, 2008.

F. Hüe, C. L. Johnson, S. Lartigue-korinek, G. Wang, P. R. Buseck et al., Calibration of projector lens distortions, Journal of Electron Microscopy, vol.54, issue.3, pp.181-190, 2005.
DOI : 10.1093/jmicro/dfi042

F. J. Humphreys, Review grain and subgrain characterisation by electron backscatter diffraction, Journal of Materials Science, vol.36, issue.16, pp.3833-3854, 2001.
DOI : 10.1023/A:1017973432592

B. Hÿtch, M. Cherkashin, N. Reboh, S. Houdellier, F. Claverie et al., Strain mapping in layers and devices by electron holography, physica status solidi (a), vol.95, issue.3, pp.580-583, 2011.
DOI : 10.1002/pssa.201000281

M. Hÿtch, F. Houdellier, F. Hüe, and E. Snoeck, Nanoscale holographic interferometry for strain measurements in electronic devices, Nature, vol.64, issue.7198, pp.453-1086, 2008.
DOI : 10.1038/nature07049

M. Hÿtch, E. Snoeck, F. Houdellier, H. , and F. , Brevet : Procédé, dispositif et système de mesure de déformation à l'échelle nanométrique wo, pp.4-5, 2009.

M. J. Hÿtch, Geometric phase analysis of high resolution electron microscope images, Scanning Microscopy, vol.11, pp.53-66, 1997.

M. J. Hÿtch and F. Houdellier, Mapping stress and strain in nanostructures by high-resolution transmission electron microscopy, Nanoscale imaging and metrology of devices and innovative materials, Procedings of the European Materials Research Society 2006 symposium F, pp.460-463, 2007.
DOI : 10.1016/j.mee.2006.10.062

M. J. Hÿtch, F. Houdellier, A. Claverie, C. , and L. , Comparison of CBED and dark-field holography for strain mapping in nanostructures and devices, 2009 Proceedings of the European Solid State Device Research Conference, pp.307-310, 2009.
DOI : 10.1109/ESSDERC.2009.5331601

M. J. Hÿtch, F. Houdellier, F. Hüe, and E. Snoeck, Dark-field electron holography for the mapping of strain in nanostructures: correcting artefacts and aberrations, Journal of Physics: Conference Series, vol.241, issue.1, p.12027, 2010.
DOI : 10.1088/1742-6596/241/1/012027

M. J. Hÿtch, F. Houdellier, F. Hüe, and E. Snoeck, Dark-field electron holography for the measurement of geometric phase, Ultramicroscopy, vol.111, issue.8, pp.111-1328, 2011.
DOI : 10.1016/j.ultramic.2011.04.008

M. J. Hÿtch, E. Snoeck, and R. Kilaas, Quantitative measurement of displacement and strain fields from HREM micrographs, Ultramicroscopy, vol.74, issue.3, pp.131-146, 1998.
DOI : 10.1016/S0304-3991(98)00035-7

T. C. Isabell, P. E. Fischione, C. O-'keefe, M. U. Guruz, and V. P. Dravid, Plasma Cleaning and Its Applications for Electron Microscopy, Microscopy and Microanalysis, vol.5, issue.02, pp.126-135, 1999.
DOI : 10.1017/S1431927699000094

S. Ito, H. Namba, K. Yamaguchi, T. Hirata, K. Ando et al., Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), pp.247-250, 2000.
DOI : 10.1109/IEDM.2000.904303

K. G. Janssens, O. V. Der-biest, J. Vanhellemont, and H. E. Maes, Assessment of the quantitative characterization of localized strain using electron diffraction contrast imaging, Ultramicroscopy, vol.69, issue.3, pp.69-151, 1997.
DOI : 10.1016/S0304-3991(97)00038-7

E. Javon, A. Lubk, F. Houdellier, R. Cours, C. Gatel et al., Dynamical 2-beam theory for dark-field electron holography and the propagation of geometric phase, Abstract from the electron microscopy conference (EMC), 2012.

P. H. Jouneau, A. Tardot, G. Feuillet, H. Mariette, C. et al., Strain mapping of ultrathin epitaxial ZnTe and MnTe layers embedded in CdTe, Journal of Applied Physics, vol.75, issue.11, pp.75-7310, 1994.
DOI : 10.1063/1.356641

D. C. Joy, Y. Zhang, X. Zhang, T. Hashimoto, R. Bunn et al., Practical aspects of electron holography, Ultramicroscopy, vol.51, issue.1-4, pp.1-4, 1993.
DOI : 10.1016/0304-3991(93)90130-P

T. Kamino, T. Yaguchi, T. Hashimoto, T. Ohnishi, and K. Umemura, A FIB Micro-Sampling Technique and a Site Specific TEM Specimen Preparation Method, Introduction to Focused Ion Beams, pp.229-245, 2005.
DOI : 10.1007/0-387-23313-X_11

E. Kasper, Test of Vegard's law in thin epitaxial SiGe layers, Journal of Crystal Growth, vol.157, issue.1-4, pp.68-72, 1995.
DOI : 10.1016/0022-0248(95)00373-8

N. I. Kato, Y. Kohno, and H. Saka, Side-wall damage in a transmission electron microscopy specimen of crystalline Si prepared by focused ion beam etching, Papers from the 45th National Symposium of the American Vacuum Society volume, pp.1201-1204, 1999.
DOI : 10.1116/1.581795

N. I. Kato, N. Miura, and N. Tsutsui, A plasma-polymerized protective film for transmission electron microscopy specimen preparation by focused ion beam etching, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.3, pp.1127-1130, 1998.
DOI : 10.1116/1.581245

R. R. Keller, A. Roshko, R. H. Geiss, K. A. Bertness, Q. et al., EBSD measurement of strains in GaAs due to oxidation of buried AlGaAs layers, Proceedings of the Symposium on Characterization and Mechanical Reliability of Advanced Electronic Materials at Nanoscale, 2003 ASME Mechanics and Materials Conference, pp.96-102, 2004.
DOI : 10.1016/j.mee.2003.11.010

P. M. Kelly, A. Jostsons, R. G. Blake, and J. G. Napier, The determination of foil thickness by scanning transmission electron microscopy, Physica Status Solidi (a), vol.24, issue.2, pp.31-771, 1975.
DOI : 10.1002/pssa.2210310251

B. W. Kempshall, L. A. Giannuzzi, B. I. Prenitzer, F. A. Stevie, and S. X. Da, Comparative evaluation of protective coatings and focused ion beam chemical vapor deposition processes, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.1, pp.286-290, 2002.
DOI : 10.1116/1.1445165

M. Kim, J. M. Zuo, and G. Park, High-resolution strain measurement in shallow trench isolation structures using dynamic electron diffraction, Applied Physics Letters, vol.84, issue.12, pp.2181-2183, 2004.
DOI : 10.1063/1.1687451

S. Kim, J. Yoo, S. Koo, D. Ko, L. et al., Characterization of channel strain evolution upon the silicidation of recessed source/drain Si 1?x Ge x structures, Applied Physics Letters, issue.13, pp.99-133107, 2011.

S. J. Klepeis, J. P. Benedict, A. , and R. M. , A Grinding/Polishing Tool for TEM Sample Preparation, MRS Proceedings, vol.115, pp.179-184, 1988.
DOI : 10.1557/PROC-115-179

C. T. Koch, V. B. Ozdol, and P. A. Van-aken, An efficient, simple, and precise way to map strain with nanometer resolution in semiconductor devices, Applied Physics Letters, vol.96, issue.9, pp.96-091901, 2010.
DOI : 10.1063/1.3337090

A. Kubis, G. Shiflet, R. Hull, and D. Dunn, Focused ion-beam tomography, Metallurgical and Materials Transactions A, vol.73, issue.2, pp.1935-1943, 2004.
DOI : 10.1007/s11661-004-0142-4

H. Langfischer, B. Basnar, H. Hutter, and E. Bertagnolli, Evolution of tungsten film deposition induced by focused ion beam, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.20, issue.4, pp.1408-1415, 2002.
DOI : 10.1116/1.1486230

R. M. Langford and A. K. Petford-long, Preparation of transmission electron microscopy cross-section specimens using focused ion beam milling, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.19, issue.5, pp.2186-2193, 2001.
DOI : 10.1116/1.1378072

F. Bibliographie-lançon, J. Ye, D. Caliste, T. Radetic, A. M. Minor et al., Superglide at an Internal Incommensurate Boundary, Nano Letters, vol.10, issue.2, pp.695-700, 2010.
DOI : 10.1021/nl903885p

E. Latu-romain, M. Mermoux, A. Crisci, D. Delille, and L. F. Kwakman, Local strain measurements in shallow trench insulator structures using near-ultraviolet Raman spectroscopy: Simulation and experiment, Journal of Applied Physics, vol.102, issue.10, pp.102-103506, 2007.
DOI : 10.1063/1.2811947

URL : https://hal.archives-ouvertes.fr/hal-00386366

M. Lehmann and H. Lichte, Tutorial on Off-Axis Electron Holography, Microscopy and Microanalysis, vol.994, issue.06, pp.447-466, 2002.
DOI : 10.1017/S1431927602020147

K. Leifer, P. A. Buffat, J. Cagnon, E. Kapon, A. Rudra et al., Quantitative imaging of InGaAs/GaAs layers using transmission electron microscopy methods: characterization of stresses and chemical composition, Journal of Crystal Growth, vol.237, issue.239, pp.237-239, 2002.
DOI : 10.1016/S0022-0248(01)02297-7

E. N. Leith and J. Upatnieks, Wavefront Reconstruction with Continuous-Tone Objects*, Journal of the Optical Society of America, vol.53, issue.12, pp.1377-1381, 1963.
DOI : 10.1364/JOSA.53.001377

H. Li and L. Salamanca-riba, The concept of high angle wedge polishing and thickness monitoring in TEM sample preparation, Ultramicroscopy, vol.88, issue.3, pp.171-178, 2001.
DOI : 10.1016/S0304-3991(01)00079-1

J. Li, D. Anjum, R. Hull, G. Xia, H. et al., Nanoscale stress analysis of strained-Si metal-oxide-semiconductor field-effect transistors by quantitative electron diffraction contrast imaging, Applied Physics Letters, vol.87, issue.22, 2005.
DOI : 10.1063/1.2135388

J. Li, T. Malis, D. , and S. , Recent advances in FIB???TEM specimen preparation techniques, Materials Characterization, vol.57, issue.1, pp.64-70, 2006.
DOI : 10.1016/j.matchar.2005.12.007

H. Lichte, Electron holography approaching atomic resolution, Ultramicroscopy, vol.20, issue.3, pp.293-304, 1986.
DOI : 10.1016/0304-3991(86)90193-2

H. Lichte, Performance limits of electron holography, Proceedings of the Sixteenth International Microscopy Congress, pp.256-262, 2008.
DOI : 10.1016/j.ultramic.2007.06.006

H. Lichte and M. Lehmann, Electron holography???basics and applications, Reports on Progress in Physics, vol.71, issue.1, p.16102, 2008.
DOI : 10.1088/0034-4885/71/1/016102

M. Linck, B. Freitag, S. Kujawa, M. Lehmann, and T. Niermann, State of the art in atomic resolution off-axis electron holography, Ultramicroscopy, vol.116, issue.0, pp.116-129, 2012.
DOI : 10.1016/j.ultramic.2012.01.019

S. Lipp, L. Frey, C. Lehrer, E. Demm, S. Pauthner et al., A comparison of focused ion beam and electron beam induced deposition processes, Reliability of Electron Devices, Failure Physics and Analysis, pp.11-12, 1996.
DOI : 10.1016/0026-2714(96)00196-5

C. W. Liu, Y. D. Tseng, and Y. S. Huang, Substitutional carbon reduction in SiGeC alloys grown by rapid thermal chemical vapor deposition, Applied Physics Letters, vol.75, issue.15, pp.75-2271, 1999.
DOI : 10.1063/1.124987

P. Liu and J. Skogsmo, Space-group determination and structure model for ??-Al2O3 by convergent-beam electron diffraction (CBED), Acta Crystallographica Section B Structural Science, vol.47, issue.4, pp.425-433, 1991.
DOI : 10.1107/S010876819100071X

Z. Liu, Q. Zhang, and L. Qin, Determination and mapping of diameter and helicity for singlewalled carbon nanotubes using nanobeam electron diffraction, Physical Review B, issue.24, pp.71-245413, 2005.

D. J. Lockwood and J. Baribeau, epilayers on silicon, Physical Review B, vol.45, issue.15, pp.8565-8571, 1992.
DOI : 10.1103/PhysRevB.45.8565

T. Maitland and S. Sitzman, Backscattering Detector and EBSD in Nanomaterials Characterization, Scanning Microscopy for Nanotechnology, pp.41-75, 2007.
DOI : 10.1007/978-0-387-39620-0_2

M. Mankos, J. M. Cowley, and M. R. Scheinfein, Quantitative Micromagnetics at High Spatial Resolution Using Far-out-of-Focus STEM Electron Holography, Physica Status Solidi (a), vol.76, issue.2, pp.469-504, 1996.
DOI : 10.1002/pssa.2211540202

A. Masseboeuf, A. Marty, P. Bayle-guillemaud, C. Gatel, and E. Snoeck, Quantitative Observation of Magnetic Flux Distribution in New Magnetic Films for Future High Density Recording Media, Nano Letters, vol.9, issue.8, pp.9-2803, 2009.
DOI : 10.1021/nl900800q

URL : https://hal.archives-ouvertes.fr/hal-00409791

S. Matsui and Y. Ochiai, Focused ion beam applications to solid state devices, Nanotechnology, vol.7, issue.3, p.247, 1996.
DOI : 10.1088/0957-4484/7/3/013

J. Mccaffrey and A. Barna, Preparation of cross-sectional TEM samples for low-angle ion milling, 1997.

J. P. Mccaffrey and J. Hulse, Transmitted color and interference fringes for TEM sample preparation of silicon, Micron, vol.29, issue.2-3, pp.2-3, 1998.
DOI : 10.1016/S0968-4328(97)00074-7

J. P. Mccaffrey, M. W. Phaneuf, and L. D. Madsen, Surface damage formation during ion-beam thinning of samples for transmission electron microscopy, Ultramicroscopy, vol.87, issue.3, pp.97-104, 2001.
DOI : 10.1016/S0304-3991(00)00096-6

M. R. Mccartney and D. J. Smith, Electron Holography: Phase Imaging with Nanometer Resolution, Annual Review of Materials Research, vol.37, issue.1, pp.729-767, 2007.
DOI : 10.1146/annurev.matsci.37.052506.084219

M. R. Mccartney, D. J. Smith, R. Hull, J. C. Bean, E. Voelkl et al., junctions using off???axis electron holography, Applied Physics Letters, vol.65, issue.20, pp.65-2603, 1994.
DOI : 10.1063/1.112581

H. J. Mcskimin, P. Andreatch, and J. , Elastic Moduli of Germanium Versus Hydrostatic Pressure at 25.0??C and ???195.8??C, Journal of Applied Physics, vol.34, issue.3, pp.651-655, 1963.
DOI : 10.1063/1.1729323

H. J. Mcskimin, P. Andreatch, and J. , Elastic moduli of silicon vs hydrostatic pressure at 25.0°C and -195, 8°C. Journal of Applied Physics, issue.7, pp.35-2161, 1964.

H. J. Bibliographie-mcskimin, P. Andreatch, and J. , Elastic Moduli of Diamond as a Function of Pressure and Temperature, Journal of Applied Physics, vol.43, issue.7, pp.2944-2948, 1972.
DOI : 10.1063/1.1661636

C. Menozzi, L. Calabri, P. Facci, P. Pingue, F. Dinelli et al., Focused ion beam as tool for atomic force microscope (AFM) probes sculpturing, Journal of Physics: Conference Series, vol.126, issue.1, p.12070, 2008.
DOI : 10.1088/1742-6596/126/1/012070

J. W. Menter, The direct study by electron microscopy of crystal lattices and their imperfections, Proceedings of the Royal Society of London. Series A. Mathematical and Physical Sciences, pp.236-119, 1204.

P. A. Midgley, An introduction to off-axis electron holography, Micron, vol.32, issue.2, pp.167-184, 2001.
DOI : 10.1016/S0968-4328(99)00105-5

P. A. Midgley and R. E. Dunin-borkowski, Electron tomography and holography in materials science, Nature Materials, vol.253, issue.4, pp.271-280, 2009.
DOI : 10.1038/nmat2406

G. F. Missiroli, G. Pozzi, and U. Valdre, Electron interferometry and interference electron microscopy, Journal of Physics E: Scientific Instruments, vol.14, issue.6, pp.14-649, 1981.
DOI : 10.1088/0022-3735/14/6/001

A. C. Mocuta and D. W. Greve, Carbon incorporation in SiGeC alloys grown by ultrahigh vacuum chemical vapor deposition, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.17, issue.4, pp.1239-1243, 1999.
DOI : 10.1116/1.581803

G. Möllenstedt and H. Düker, Fresnelscher Interferenzversuch mit einem Biprisma f???r Elektronenwellen, Die Naturwissenschaften, vol.136, issue.2, pp.41-41, 1955.
DOI : 10.1007/BF00621530

P. Morin, Mechanical Stress in Silicon Based Materials: Evolution Upon Annealing and Impact on Devices Performances, 2006 14th IEEE International Conference on Advanced Thermal Processing of Semiconductors, pp.93-102, 2006.
DOI : 10.1109/RTP.2006.367987

J. P. Morniroli, CBED and LACBED analysis of stacking faults and antiphase boundaries, Materials Chemistry and Physics, vol.81, issue.2-3, pp.209-213, 2003.
DOI : 10.1016/S0254-0584(02)00564-3

S. Nakahara, Recent development in a TEM specimen preparation technique using FIB for semiconductor devices, Proceedings of Frontiers of Surface Engineering, pp.721-727, 2003.
DOI : 10.1016/S0257-8972(03)00206-8

S. Nakashima, T. Yamamoto, A. Ogura, K. Uejima, and T. Yamamoto, Characterization of Si/GexSi1???x structures by micro-Raman imaging, Applied Physics Letters, vol.84, issue.14, pp.2533-2535, 2004.
DOI : 10.1063/1.1695443

B. Neubauer, A. Rosenauer, D. Gerthsen, O. Ambacher, M. Stutzmann et al., Analysis of composition fluctuations in Al x Ga 1?x N, Materials Science and Engineering B, vol.59, pp.1-3, 1999.

M. G. Norton and C. B. Carter, Moir??? patterns and their application to the study of the growth of YBa2Cu3O7-? thin films, Journal of Materials Science, vol.44, issue.2, pp.381-389, 1995.
DOI : 10.1007/BF00354400

K. Omote, High resolution grazing-incidence in-plane x-ray diffraction for measuring the strain of a Si thin layer, Journal of Physics: Condensed Matter, vol.22, issue.47, pp.22-474004, 2010.
DOI : 10.1088/0953-8984/22/47/474004

D. Osten, D. Endisch, E. Bugiel, B. Dietrich, G. G. Fischer et al., Strain relaxation in tensile-strained Si 1?y C y layers on Si(001), Semiconductor Science and Technology, issue.11, pp.11-1678, 1996.

M. H. Overwijk, F. C. Van-den-heuvel, and C. W. Bulle-lieuwma, Novel scheme for the preparation of transmission electron microscopy specimens with a focused ion beam, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.11, issue.6, pp.11-2021, 1993.
DOI : 10.1116/1.586537

M. C. Ozturk, N. Pesovic, I. Kang, J. Liu, H. Mo et al., Ultra-shallow source/drain junctions for nanoscale CMOS using selective silicon-germanium technology, Extended Abstracts of the Second International Workshop on Junction Technology. IWJT. (IEEE Cat.No.01EX541C), pp.77-82, 2001.
DOI : 10.1109/IWJT.2001.993832

M. Perego, S. Ferrari, and M. Fanciulli, Negative cluster emission in sputtering of Si1???xGex alloys: A full spectrum approach, Surface Science, vol.599, issue.1-3, pp.141-149, 2005.
DOI : 10.1016/j.susc.2005.10.002

M. A. Pfeifer, G. J. Williams, I. A. Vartanyants, R. Harder, R. et al., Threedimensional mapping of a deformation field inside a nanocrystal, Nature, issue.7098, pp.442-63, 2006.

M. W. Phaneuf, Applications of focused ion beam microscopy to materials science specimens, Micron, vol.30, issue.3, pp.277-288, 1999.
DOI : 10.1016/S0968-4328(99)00012-8

C. D. Poweleit, A. Gunther, S. Goodnick, and J. Menendez, Raman imaging of patterned silicon using a solid immersion lens, Applied Physics Letters, vol.73, issue.16, pp.73-2275, 1998.
DOI : 10.1063/1.121700

A. R. Powell, F. K. Legoues, and S. S. Iyer, random alloy layers, Applied Physics Letters, vol.64, issue.3, pp.324-326, 1994.
DOI : 10.1063/1.111195

M. Py, J. P. Barnes, and J. M. Hartmann, Quantification of germanium in Si1???xGex alloys by negative mode ToF-SIMS: the interest of the full spectrum method, Surface and Interface Analysis, vol.3, issue.10, pp.539-542, 2011.
DOI : 10.1002/sia.3535

M. Py, J. P. Barnes, D. Lafond, and J. M. Hartmann, Quantitative profiling of SiGe/Si superlattices by time-of-flight secondary ion mass spectrometry: the advantages of the extended Full Spectrum protocol, Rapid Communications in Mass Spectrometry, vol.255, issue.204, pp.25-629, 2011.
DOI : 10.1002/rcm.4904

R. Quey, Suivi de microtextures dans l'aluminium en grande déformation à chaud, 2009.

J. Rabier, L. Pizzagalli, and J. L. Demenet, Chapter 93 Dislocations in Silicon at High Stress, Dislocations in Solids volume, pp.47-108, 2010.
DOI : 10.1016/S1572-4859(09)01602-7

C. V. Raman and K. S. Krishnan, A New Type of Secondary Radiation, Nature, vol.121, issue.3048, pp.501-502, 1928.
DOI : 10.1038/121501c0

O. Rand and V. Rovenski, Analytical Methods in Anisotropic Elasticity with Symbolic Computational Tools, 2005.

W. D. Rau and H. Lichte, High Resolution Off-Axis Electron Holography, Introduction to Electron Holography, 1999.
DOI : 10.1007/978-1-4615-4817-1_9

W. D. Rau, P. Schwander, F. H. Baumann, W. Höppner, and A. Ourmazd, Two-Dimensional Mapping of the Electrostatic Potential in Transistors by Electron Holography, Physical Review Letters, vol.82, issue.12, pp.2614-2617, 1999.
DOI : 10.1103/PhysRevLett.82.2614

E. F. Rauch, J. Portillo, S. Nicolopoulos, D. Bultreys, S. Rouvimov et al., Automated nanocrystal orientation and phase mapping in the transmission electron microscope on the basis of precession electron diffraction, Zeitschrift f??r Kristallographie, vol.225, issue.2-3, pp.103-109, 2010.
DOI : 10.1524/zkri.2010.1205

URL : https://hal.archives-ouvertes.fr/hal-00528054

K. Rim, J. Chu, H. Chen, K. Jenkins, T. Kanarsky et al., Characteristics and device design of sub-100 nm strained Si N- and PMOSFETs, 2002 Symposium on VLSI Technology. Digest of Technical Papers (Cat. No.01CH37303), 2002.
DOI : 10.1109/VLSIT.2002.1015406

J. S. Ro, C. V. Thompson, and J. Melngailis, Mechanism of ion beam induced deposition of gold, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.12, issue.1, pp.73-77, 1994.
DOI : 10.1116/1.587111

M. D. Robertson, J. M. Corbett, J. B. Webb, J. Jagger, and J. E. Currie, Elastic strain determination in semiconductor epitaxial layers by HREM, Papers presented at the 22nd annual meeting of the Microscopical Society of Canada, pp.521-537, 1995.
DOI : 10.1016/0968-4328(95)00020-8

I. Robinson and R. Harder, Coherent X-ray diffraction imaging of strain at the nanoscale, Nature Materials, vol.13, issue.4, pp.291-298, 2009.
DOI : 10.1038/nmat2400

E. Romain-latu, Mesures de contraintes par spectroscopie et imagerie Raman dans des dispositifs micro-électroniques, 2006.

A. Rosenauer, S. Kaiser, T. Reisinger, J. Zweck, W. Gebhardt et al., Digital analysis of high resolution transmission electron microscopy lattice images, Optik, vol.102, pp.63-69, 1996.

D. Rouchon, Mesures de déformations sur dispositifs à contraintes intentionnelles par spectroscopie Raman, 2009.

J. L. Rouvière, A. Mouti, and P. Stadelmann, Measuring strain on HR-STEM images: application to threading dislocations in Al 0, Journal of Physics: Conference Series, vol.8, issue.3261, p.12022, 2011.

J. L. Rouvière and E. Sarigiannidou, Theoretical discussions on the geometrical phase analysis, Ultramicroscopy, vol.106, issue.1, pp.1-17, 2005.
DOI : 10.1016/j.ultramic.2005.06.001

S. Rubanov and P. R. Munroe, The effect of the gold sputter-coated films in minimising damage in FIB-produced TEM specimens, Materials Letters, vol.57, issue.15, pp.2238-2241, 2003.
DOI : 10.1016/S0167-577X(02)01202-8

H. Rücker, M. Methfessel, E. Bugiel, and H. J. Osten, Strain-stabilized highly concentrated pseudomorphic Si 1?x C x layers in Si, Physical Review Letters, issue.22, pp.72-3578, 1994.

H. Rücker, M. Methfessel, B. Dietrich, K. Pressel, and H. J. Osten, alloys, Physical Review B, vol.53, issue.3, pp.1302-1309, 1996.
DOI : 10.1103/PhysRevB.53.1302

A. M. Sanchez, J. G. Lozano, R. Garcia, M. Herrera, S. Ruffenach et al., Strain Mapping at the Atomic Scale in Highly Mismatched Heterointerfaces, Advanced Functional Materials, vol.100, issue.14, pp.17-2588, 2007.
DOI : 10.1002/adfm.200600813

URL : https://hal.archives-ouvertes.fr/hal-00540273

E. Sarigiannidou, E. Monroy, B. Daudin, J. L. Rouviere, and A. D. Andreev, Strain distribution in GaN???AlN quantum-dot superlattices, Applied Physics Letters, vol.87, issue.20, p.203112, 2005.
DOI : 10.1063/1.2123394

G. M. Shedd, H. Lezec, A. D. Dubner, and J. Melngailis, Focused ion beam induced deposition of gold, Applied Physics Letters, vol.49, issue.23, pp.49-1584, 1986.
DOI : 10.1063/1.97287

J. Sickmann, P. Formanek, M. Linck, U. Muehle, and H. Lichte, Imaging modes for potential mapping in semiconductor devices by electron holography with improved lateral resolution, Ultramicroscopy, vol.111, issue.4, pp.290-302, 2011.
DOI : 10.1016/j.ultramic.2010.12.016

E. Snoeck, P. Hartel, H. Müller, M. Haider, and P. C. Tiemeijer, Using a CEOS -objective lens corrector as a pseudo Lorentz lens in a Tecnai F20 TEM, Proceedings of the IMC16 International Microscopy Congress volume, p.730, 2006.

H. H. Solak, Y. Vladimirsky, F. Cerrina, B. Lai, W. Yun et al., Measurement of strain in Al???Cu interconnect lines with x-ray microdiffraction, Journal of Applied Physics, vol.86, issue.2, pp.884-890, 1999.
DOI : 10.1063/1.370819

Y. Song, H. Zhou, Q. Xu, J. Luo, H. Yin et al., Mobility Enhancement Technology for Scaling of CMOS Devices: Overview and Status, Journal of Electronic Materials, vol.156, issue.7, pp.1584-1612, 2011.
DOI : 10.1007/s11664-011-1623-z

L. A. Starman, J. A. Lott, M. S. Amer, W. D. Cowan, and J. D. Busbee, Stress characterization of MEMS microbridges by micro-Raman spectroscopy, Sensors and Actuators A: Physical, vol.104, issue.2, pp.107-116, 2003.
DOI : 10.1016/S0924-4247(02)00432-6

F. Stevie, L. Giannuzzi, and B. Prenitzer, The Focused Ion Beam Instrument, Introduction to Focused Ion Beams, pp.1-12, 2005.
DOI : 10.1007/0-387-23313-X_1

F. A. Stevie, C. B. Vartuli, L. A. Giannuzzi, T. L. Shofner, S. R. Brown et al., Application of focused ion beam lift-out specimen preparation to TEM, SEM, STEM, AES and SIMS analysis, Surface and Interface Analysis, vol.17, issue.5, pp.31-345, 2001.
DOI : 10.1002/sia.1063

F. H. Stillinger and T. A. Weber, Computer simulation of local order in condensed phases of silicon, Physical Review B, vol.31, issue.8, pp.5262-5271, 1985.
DOI : 10.1103/PhysRevB.31.5262

C. Stuer, J. V. Landuyt, H. Bender, I. D. Wolf, R. Rooyackers et al., Investigation by Convergent Beam Electron Diffraction of the Stress around Shallow Trench Isolation Structures, Journal of The Electrochemical Society, vol.148, issue.11, pp.148-597, 2001.
DOI : 10.1149/1.1404970

B. K. Tanner, High resolution X-ray diffraction and topography for crystal characterization, Journal of Crystal Growth, vol.99, issue.1-4, pp.1315-1323, 1990.
DOI : 10.1016/S0022-0248(08)80127-3

S. Tardif, V. Favre-nicolin, F. Lançon, E. Arras, M. Jamet et al., nanocolumns embedded in Ge (001), Physical Review B, vol.82, issue.10, p.104101, 2010.
DOI : 10.1103/PhysRevB.82.104101

URL : https://hal.archives-ouvertes.fr/hal-01002363

S. E. Thompson, Strained Si and the future direction of CMOS, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05), pp.14-16, 2005.
DOI : 10.1109/IWSOC.2005.99

S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau et al., A logic nanotechnology featuring strained-silicon. Electron Device Letters, IEEE, issue.4, pp.25-191, 2004.

P. Tiemeijer, Tuning Lorentz holography for extra large field of view, 2008.

A. Toda, H. Nakamura, T. Fukai, and N. Ikarashi, Channel Strain in Advanced Complementary Metal???Oxide???Semiconductor Field Effect Transistors Measured Using Nano-Beam Electron Diffraction, Japanese Journal of Applied Physics, vol.47, issue.4, 2008.
DOI : 10.1143/JJAP.47.2496

A. Tonomura, Applications of electron holography, Reviews of Modern Physics, vol.59, issue.3, pp.639-669, 1987.
DOI : 10.1103/RevModPhys.59.639

A. Tonomura, T. Matsuda, J. Endo, H. Todokoro, and T. Komoda, Development of a field emission electron microscope, Journal of Electron Microscopy, vol.28, issue.1, pp.1-11, 1979.

K. Z. Troost, P. V. Sluis, and D. J. Gravesteijn, Microscale elastic???strain determination by backscatter Kikuchi diffraction in the scanning electron microscope, Applied Physics Letters, vol.62, issue.10, pp.62-1110, 1993.
DOI : 10.1063/1.108758

A. C. Twitchett, R. E. Dunin-borkowski, and P. A. Midgley, Quantitative Electron Holography of Biased Semiconductor Devices, Physical Review Letters, vol.88, issue.23, p.238302, 2002.
DOI : 10.1103/PhysRevLett.88.238302

A. C. Twitchett-harrison, T. J. Yates, R. E. Dunin-borkowski, and P. A. Midgley, Quantitative electron holographic tomography for the 3D characterisation of semiconductor device structures, Ultramicroscopy, vol.108, issue.11, pp.108-1401, 2008.
DOI : 10.1016/j.ultramic.2008.05.014

K. Usuda, T. Numata, T. Irisawa, N. Hirashita, and S. Takagi, Strain characterization in SOI and strained-Si on SGOI MOSFET channel using nano-beam electron diffraction (NBD), Materials Science and Engineering: B, vol.124, issue.125, pp.124-125, 2005.
DOI : 10.1016/j.mseb.2005.08.062

A. Veloso, T. Hoffmann, A. Lauwers, H. Yu, S. Severi et al., Advanced CMOS device technologies for 45 nm node and below, Science and Technology of Advanced Materials, vol.8, issue.3, p.214, 2007.
DOI : 10.1109/LED.2005.861404

P. Verheyen, G. Eneman, R. Rooyackers, R. Loo, L. Eeckhout et al., Demonstration of recessed SiGe S/D and inserted metal gate on HfO/sub 2/ for high performance pFETs., IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., 2005.
DOI : 10.1109/IEDM.2005.1609500

P. Verheyen, V. Machkaoutsan, M. Bauer, D. Weeks, C. Kerner et al., Strain enhanced nMOS using in situ doped embedded Si 1?x C x S/D stressors with up to 1.5% substitutional carbon content grown using a novel deposition process. Electron Device Letters, IEEE, issue.11, pp.29-1206, 2008.

E. Völkl, L. F. Allard, and B. Frost, A software package for the processing and reconstruction of electron holograms, Journal of Microscopy, vol.24, issue.1, pp.39-50, 1995.
DOI : 10.1111/j.1365-2818.1995.tb03655.x

P. Warren, J. Mi, F. Overney, and M. Dutoit, Thermal stability of Si/Si 1?x?y Ge x C y /Si heterostructures grown by rapid thermal chemical vapor deposition, Journal of Crystal Growth, vol.157, pp.1-4, 1995.

J. Wasyluk, T. S. Perova, M. , and F. , Raman and Fourier transform infrared study of substitutional carbon incorporation in rapid thermal chemical vapor, 2010.

A. J. Wilkinson, D. J. Dingley, and G. Meaden, Strain Mapping Using Electron Backscatter Diffraction, Electron Backscatter Diffraction in Materials Science, pp.231-249, 2009.
DOI : 10.1007/978-0-387-88136-2_17

A. J. Wilkinson and P. B. Hirsch, Electron diffraction based techniques in scanning electron microscopy of bulk materials, Micron, vol.28, issue.4, pp.279-308, 1997.
DOI : 10.1016/S0968-4328(97)00032-2

A. J. Wilkinson, G. Meaden, and D. J. Dingley, High-resolution elastic strain measurement from electron backscatter diffraction patterns: New levels of sensitivity, Ultramicroscopy, vol.106, issue.4-5, pp.4-5, 2006.
DOI : 10.1016/j.ultramic.2005.10.001

D. B. Williams and C. B. Carter, The transmission electron microscope, In Transmission Electron Microscopy, pp.3-22, 2009.

I. D. Wolf, Applications of raman spectroscopy in semiconductor processing, Future Fab International Issue, vol.16, pp.139-142, 2004.

A. C. Wright, Artefacts in iodine ion milling of some compound semiconductors, Ultramicroscopy, vol.83, issue.1-2, pp.1-8, 2000.
DOI : 10.1016/S0304-3991(99)00165-5

X. Wu, J. Yu, T. Ren, and L. Liu, Micro-Raman spectroscopy measurement of stress in silicon, Microelectronics Journal, vol.38, issue.1, pp.87-90, 2007.
DOI : 10.1016/j.mejo.2006.09.007

Y. Yeo and J. Sun, Finite-element study of strain distribution in transistor with silicon???germanium source and drain regions, Applied Physics Letters, vol.86, issue.2, p.23103, 2005.
DOI : 10.1063/1.1846152

H. Yoon, S. E. Lindo, and M. S. Goorsky, Characterization of ternary substrate materials using triple axis X-ray diffraction, Journal of Crystal Growth, vol.174, issue.1-4, pp.1-4, 1997.
DOI : 10.1016/S0022-0248(97)00059-6

R. J. Young, J. R. Cleaver, A. , and H. , Characteristics of gas-assisted focused ion beam etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.11, issue.2, pp.234-241, 1993.
DOI : 10.1116/1.586708

R. J. Young and J. Puretz, Focused ion beam insulator deposition, The 38th International symposium on electron, ion, and photon beams volume, pp.2576-2579, 1995.
DOI : 10.1116/1.588026

P. Zaumseil, G. G. Fischer, K. Brunner, and K. Eberl, Comparison of the thermal stability of Si 0.603 Ge 0Si superlattice structures, Journal of Applied Physics, vol.397, issue.9, pp.81-6134, 1997.

H. Zhang, What limits the application of TEM in the semiconductor industry ? Thin Solid Films, pp.77-85, 1998.

S. Zhang, Nickel-based contact metallization for SiGe MOSFETs: progress and challenges, Microelectronic Engineering, vol.70, issue.2-4, pp.174-185, 2003.
DOI : 10.1016/S0167-9317(03)00369-1

X. H. Zheng, H. Chen, Y. K. Li, Q. Huang, and J. M. Zhou, Strained state of the layer system depending on the SiGe layer thickness by micro-Raman mapping, Journal of Crystal Growth, vol.264, issue.1-3, pp.1-3, 2004.
DOI : 10.1016/j.jcrysgro.2003.12.064

D. Cooper, T. Denneulin, J. P. Barnes, J. M. Hartmann, L. Hutin et al., Strain mapping with nm-scale resolution for the silicon-on-insulator generation of semiconductor devices by advanced electron microscopy, Journal of Applied Physics, vol.112, issue.12, p.124505, 2012.
DOI : 10.1063/1.4767925

T. Denneulin, J. L. Rouviere, A. Beche, M. Py, J. P. Barnes et al., Annealed Si???SiGeC Superlattices Studied by Dark-Field Electron Holography, ToF-SIMS and Infrared Spectroscopy, AIP Conference Proceedings, issue.1, pp.1395-105, 2011.
DOI : 10.1063/1.3657874

T. Denneulin, J. L. Rouvière, A. Béché, M. Py, J. P. Barnes et al., Evaluation of the substitutional carbon content in annealed Si/SiGeC superlattices by darkfield electron holography, Journal of Physics: Conference Series, p.12024, 2011.

T. Denneulin, J. L. Rouvière, A. Béché, M. Py, J. P. Barnes et al., The reduction of the substitutional C content in annealed Si/SiGeC superlattices studied by dark-field electron holography, Semiconductor Science and Technology, vol.26, issue.12, pp.26-125010, 2011.
DOI : 10.1088/0268-1242/26/12/125010

T. Denneulin, J. L. Rouvière, J. M. Hartmann, C. , and D. , The addition of strain in uniaxially strained transistors by both SiN contact etch stop layers and recessed SiGe sources and drains, Journal of Applied Physics, vol.112, issue.9, p.94314, 2012.
DOI : 10.1063/1.4764045