. Adapteva, Epiphany architecture reference manual, p.2012

A. European-space, Planck (spacecraft) http://en.wikipedia.org/wiki/Planck_(spacecraft)#2013_data_release, 2013.

A. Akkas and M. J. Schulte, A quadruple precision and dual double precision floating-point multiplier, Euromicro Symposium on Digital System Design, 2003. Proceedings., 2003.
DOI : 10.1109/DSD.2003.1231903

S. F. Anderson, J. G. Earle, R. E. Goldschmidt, and D. M. Powers, The IBM System/360 Model 91: Floating-Point Execution Unit, IBM Journal of Research and Development, vol.11, issue.1, pp.34-53, 1967.
DOI : 10.1147/rd.111.0034

A. Ieee, Standard 754-1985 for Binary Floating-Point Arithmetic (also IEC 60559), 1985.

A. Ieee, Standard 854-1987 Standard for radix-independent floating-point arithmetic, 1987.

T. Asprey, S. Gregory, E. Averill, R. Delano, B. Mason et al., Performance features of the PA7100 microprocessor, IEEE Micro, vol.13, issue.3, pp.22-35, 1993.
DOI : 10.1109/40.216746

B. Barras, S. Boutin, C. Cornes, J. Courant, J. Filliatre et al., Chetan Murthy, et al. The Coq proof assistant reference manual: Version 6, 1997.

G. Bertoni, L. Breveglieri, P. Fragneto, M. Macchetti, and S. Marchesin, Efficient Software Implementation of AES on 32-Bit Platforms, Proceedings of the 4th International Workshop on Cryptographic Hardware and Embedded Systems (CHES), 2003.
DOI : 10.1007/3-540-36400-5_13

V. Betz and J. Rose, VPR: a new packing, placement and routing tool for FPGA research, Field-Programmable Logic and Applications, pp.213-222, 1997.
DOI : 10.1007/3-540-63465-7_226

B. Blodget, C. Bobda, M. Hübner, and A. Niyonkuru, Partial and Dynamically Reconfiguration of Xilinx Virtex-II FPGAs, Field Programmable Logic and Application, pp.801-810, 2004.
DOI : 10.1007/978-3-540-30117-2_81

N. Boullis and A. Tisserand, Some optimizations of hardware multiplication by constant matrices, Proceedings of the 16th Symposium on Computer Arithmetic (ARITH), pp.20-27, 2003.
URL : https://hal.archives-ouvertes.fr/lirmm-00113092

N. Brisebarre and S. Chevillard, Efficient polynomial L-approximations, 18th IEEE Symposium on Computer Arithmetic (ARITH '07), pp.169-176, 2007.
DOI : 10.1109/ARITH.2007.17

URL : https://hal.archives-ouvertes.fr/inria-00119513

N. Brisebarre, J. Muller, and A. Tisserand, Computing machine-efficient polynomial approximations, ACM Transactions on Mathematical Software, vol.32, issue.2, pp.236-256, 2006.
DOI : 10.1145/1141885.1141890

URL : https://hal.archives-ouvertes.fr/ensl-00086826

J. D. Bruguera and T. Lang, Rounding in floating-point addition using a compound adder, 2000.

N. Brunie, S. Collange, and G. Diamos, Simultaneous branch and warp interweaving for sustained GPU performance, 39th Annual International Symposium on Computer Architecture (ISCA), 2012.
DOI : 10.1109/isca.2012.6237005

URL : https://hal.archives-ouvertes.fr/ensl-00649650

F. Campi, A. Deledda, M. Pizzotti, L. Ciccarelli, P. Rolandi et al., A dynamically adaptive DSP for heterogeneous reconfigurable platforms, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007.
DOI : 10.1109/DATE.2007.364559

B. Kuan-hsu-chen, W. Shen, and . Yang, An automatic superword vectorization in llvm, 16th Workshop on Compiler Techniques for High-Performance and Embedded Computing, pp.19-27, 2010.

S. Chevillard, Évaluation efficace de fonctions numériques ? Outils et exemples, 2009.

S. Chevillard, M. Joldes, and C. Lauter, Certified and Fast Computation of Supremum Norms of Approximation Errors, 2009 19th IEEE Symposium on Computer Arithmetic, pp.169-176, 2009.
DOI : 10.1109/ARITH.2009.18

URL : https://hal.archives-ouvertes.fr/ensl-00334545

S. Chevillard, M. Jolde¸sjolde¸s, and C. Lauter, Sollya: An Environment for the Development of Numerical Codes, Mathematical Software -ICMS, pp.28-31, 2010.
DOI : 10.1007/978-3-642-15582-6_5

URL : https://hal.archives-ouvertes.fr/hal-00761644

W. J. Cody, Software Manual for the Elementary Functions. Prentice-Hall series in Computational Mathematics, 1980.

J. William and . Cody, Implementation and testing of function software, Problems and Methodologies in Mathematical Software Production, pp.24-47, 1982.

M. Sylvain-collange, D. Daumas, D. Defour, and . Parello, Barra: A parallel functional simulator for GPGPU, IEEE International Symposium on Modeling, Analysis & Simulation of Computer and Telecommunication Systems (MASCOTS), pp.351-360, 2010.

D. Sylvain-collange, Y. Defour, and . Zhang, Dynamic detection of uniform and affine vectors in GPGPU computations, Euro-Par 2009 -Parallel Processing Workshops, pp.46-55, 2010.

S. Collange and A. Kouyoumdjian, Affine Vector Cache for memory bandwidth savings, 2011.
URL : https://hal.archives-ouvertes.fr/ensl-00649200

J. Cong and Y. Ding, Combinational logic synthesis for LUT based field programmable gate arrays, ACM Transactions on Design Automation of Electronic Systems, vol.1, issue.2, pp.145-204, 1996.
DOI : 10.1145/233539.233540

M. Cornea, Proving the IEEE correctness of iterative floating-point square-root, divide and remainder algorithms, Intel Technology Journal, 1998.

M. Cornea, J. Harrison, P. T. , and P. Tang, Scientific computing on Itaniumbased systems, 2002.

I. Cplex, 11.0 user's manual, ILOG SA, 2007.

M. Darley, B. Kronlage, D. Bural, B. Churchill, D. Pulling et al., The TMS390C602A floating-point coprocessor for Sparc systems, IEEE Micro, vol.10, issue.3, pp.36-47, 1990.
DOI : 10.1109/40.56324

M. Daumas and G. Melquiond, Certification of bounds on expressions involving rounded operators, ACM Transactions on Mathematical Software, vol.37, issue.1, pp.1-220, 2010.
DOI : 10.1145/1644001.1644003

URL : https://hal.archives-ouvertes.fr/hal-00127769

J. David, Architecture synchronisée par les données pour système reconfigurable, 2002.

D. Florent-de-dinechin, C. Defour, and . Lauter, Fast correct rounding of elementary functions in double precision using double-extended arithmetic, 2004.

J. Florent-de-dinechin, O. Detrey, R. Cret, and . Tudoran, When FPGAs are better at floating-point than microprocessors, Proceedings of the 16th International ACM/SIGDA Symposium on Field Programmable Gate Arrays, pp.260-260, 2008.

C. Florent-de-dinechin, J. Lauter, S. Muller, and . Torres, On ziv's rounding test, 2012.

B. Florent-de-dinechin and . Pasca, Large multipliers with fewer DSP blocks, International Conference on Field Programmable Logic and Applications (FPL), pp.250-255, 2009.

F. De-dinechin and B. Pasca, Designing custom arithmetic data paths with FloPoCo. Design & Test of Computers, IEEE, vol.28, issue.4, pp.18-27, 2011.
URL : https://hal.archives-ouvertes.fr/ensl-00646282

D. Defour, Fonctions élémentaires: algorithmes et implémentations efficaces pour l'arrondi correct en double précision, 2003.

D. Defour, G. Hanrot, V. Lefèvre, J. Muller, N. Revol et al., Proposal for a standardization of mathematical function implementation in floating-point arithmetic. Numerical algorithms, pp.1-4367, 2004.
URL : https://hal.archives-ouvertes.fr/inria-00099967

G. Diamos, B. Ashbaugh, S. Maiyuran, A. Kerr, H. Wu et al., SIMD re-convergence at thread frontiers, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.477-488, 2011.
DOI : 10.1145/2155620.2155676

W. S. Dorn, Generalizations of Horner's Rule for Polynomial Evaluation, IBM Journal of Research and Development, vol.6, issue.2, pp.239-245, 1962.
DOI : 10.1147/rd.62.0239

D. Milo?, T. Ercegovac, J. Lang, A. Muller, and . Tisserand, Reciprocation, square root, inverse square root, and some elementary functions using small multipliers, IEEE Transactions on Computers, vol.49, issue.7, pp.628-637, 2000.

O. Esko, P. Jääskeläinen, P. Huerta, C. S. De-la-lama, J. Takala et al., Customized Exposed Datapath Soft-Core Design Flow with Compiler Support, 2010 International Conference on Field Programmable Logic and Applications, pp.217-222, 2010.
DOI : 10.1109/FPL.2010.51

G. Estrin, Organization of computer systems, Papers presented at the May 3-5, 1960, western joint IRE-AIEE-ACM computer conference on, IRE-AIEE-ACM '60 (Western), pp.33-40, 1960.
DOI : 10.1145/1460361.1460365

P. Fortin, M. Gouicem, and S. Graillat, Towards Solving the Table Maker's Dilemma on GPU, 2012 20th Euromicro International Conference on Parallel, Distributed and Network-based Processing, pp.407-415, 2012.
DOI : 10.1109/PDP.2012.64

W. Wilson, I. Fung, G. Sham, . Yuan, M. Tor et al., Dynamic warp formation and scheduling for efficient GPU control flow, Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pp.407-420, 2007.

G. Gerwig, E. M. Schwarz, and R. M. Sr, Fused multiply add split for multiple precision arithmetic, 2007.

G. Gerwig, H. Wetter, M. Eric, J. Schwarz, . Haess et al., The IBM eServer z990 floating-point unit, IBM Journal of Research and Development, vol.48, issue.3.4, pp.311-322, 2004.
DOI : 10.1147/rd.483.0311

M. Gök and M. Özbilen, Multi-functional floating-point MAF designs with dot product support, Microelectronics journal, pp.30-43, 2008.

S. Gueron, Intel???s New AES Instructions for Enhanced Performance and Security, Fast Software Encryption, pp.51-66, 2009.
DOI : 10.1007/978-3-642-03317-9_4

L. David, . Harris, F. Stuart, . Oberman, A. Mark et al., SRT division architectures and implementations, 13th IEEE Symposium on Computer Arithmetic, pp.18-25, 1997.

M. Harris and . Gpgpu, General-purpose computation on GPUs, SIGGRAPH, GPGPU Course, 2005.

J. Harrison, HOL Light: An Overview, Theorem Proving in Higher Order Logics, pp.60-66, 2009.
DOI : 10.1016/0304-3975(93)90095-B

S. Hauck, M. Matthew, . Hosler, W. Thomas, and . Fry, High-performance carry chains for FPGAs, Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays , FPGA '98, pp.138-147, 2000.
DOI : 10.1145/275107.275142

Y. Hilewitz, B. Ruby, and . Lee, A New Basis for Shifters in General-Purpose Processors for Existing and Advanced Bit Manipulations, IEEE Transactions on Computers, vol.58, issue.8, pp.1035-1048, 2009.
DOI : 10.1109/TC.2008.219

Y. Hilewitz, Z. J. Shi, and R. B. Lee, Comparing fast implementations of bit permutation instructions, Conference Record of the Thirty-Eighth Asilomar Conference on Signals, Systems and Computers, 2004., pp.1856-1863, 2004.
DOI : 10.1109/ACSSC.2004.1399486

L. Huang, L. Shen, K. Dai, and Z. Wang, A New Architecture For Multiple-Precision Floating-Point Multiply-Add Fused Unit Design, 18th IEEE Symposium on Computer Arithmetic (ARITH '07), pp.69-76, 2007.
DOI : 10.1109/ARITH.2007.5

. Intel, The Intel(R) Xeon Phi(TM) product family, 2013.

. Intel, Math kernel library, 2014.

. Iso, Programming languages-C, 2011.

P. Jääskeläinen, C. S. De-la-lama, P. Huerta, and J. Takala, OpenCL-based design methodology for application-specific processors, 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, pp.223-230, 2010.
DOI : 10.1109/ICSAMOS.2010.5642061

C. Jeannerod and G. Revy, FLIP: Floating-point library for integer processors

W. Jiang, C. Mei, B. Huang, J. Li, J. Zhu et al., Boosting the Performance of Multimedia Applications Using SIMD Instructions, Compiler Construction, pp.59-75, 2005.
DOI : 10.1007/978-3-540-31985-6_5

M. Mioara and . Joldes, Approximations polynomiales rigoureuses et applications, 2011.

P. Kornerup and J. Muller, Extending the range of the Cody and Waite range reduction method, 2005.

P. Kornerup and J. Muller, Choosing starting values for certain Newton? Raphson iterations. Theoretical computer science, pp.101-110, 2006.
URL : https://hal.archives-ouvertes.fr/ensl-00000009

I. Kuon and J. Rose, Measuring the gap between FPGAs and ASICs, Proceedings of the International Symposium on Field Programmable Gate Arrays (FPGA), pp.21-30, 2006.

O. Kwon, E. Earl, K. Swartzlander-jr, and . Nowka, A fast hybrid carrylookahead/carry-select adder design, Proceedings of the 11th Great Lakes symposium on VLSI, pp.149-152, 2001.

T. Lang and J. D. Bruguera, Floating-point fused multiply-add with reduced latency, Proceedings of the IEEE International Conference on Computer Design : VLSI in Computers and Processors, 2002.

C. Q. Lauter, Basic building blocks for a triple-double intermediate format, 2005.
URL : https://hal.archives-ouvertes.fr/inria-00070314

C. Q. Lauter, Arrondi correct de fonctions mathématiques: fonctions univariées et bivariées, certification et automatisation, 2008.

T. Kyung, K. J. Lee, and . Nowka, 1 GHz leading zero anticipator using independent sign-bit determination logic, Symposium on VLSI Circuits, pp.194-195, 2000.

V. Lefèvre, Multiplication by an integer constant, 2001.

A. Levinthal and T. Porter, Chap - a SIMD graphics processor, ACM SIGGRAPH Computer Graphics, vol.18, issue.3, pp.77-82, 1984.
DOI : 10.1145/964965.808581

E. Lindholm, J. Nickolls, S. Oberman, and J. Montrym, NVIDIA Tesla: A Unified Graphics and Computing Architecture, IEEE Micro, vol.28, issue.2, pp.39-55, 2008.
DOI : 10.1109/MM.2008.31

J. Liu, Y. Zhang, O. Jang, W. Ding, and M. Kandemir, A compiler framework for extracting superword level parallelism, ACM SIGPLAN Notices, vol.47, issue.6, pp.347-358, 2012.
DOI : 10.1145/2345156.2254106

A. Lodi, C. Mucci, M. Bocchi, A. Cappelli, M. D. Dominicis et al., A Multi-Context Pipelined Array for Embedded Systems, 2006 International Conference on Field Programmable Logic and Applications, pp.1-8, 2006.
DOI : 10.1109/FPL.2006.311270

R. Lougee-heimer, The Common Optimization INterface for Operations Research: Promoting open-source software in the operations research community, IBM Journal of Research and Development, vol.47, issue.1, pp.57-66, 2003.
DOI : 10.1147/rd.471.0057

N. Louvet, J. Muller, and A. Panhaleux, Newton-Raphson algorithms for floating-point division using an FMA, ASAP 2010, 21st IEEE International Conference on Application-specific Systems, Architectures and Processors, pp.200-207, 2010.
DOI : 10.1109/ASAP.2010.5540948

URL : https://hal.archives-ouvertes.fr/ensl-00549027

D. Luebke, M. Harris, N. Govindaraju, A. Lefohn, M. Houston et al., GPGPU, Proceedings of the conference on SIGGRAPH 2004 course notes , GRAPH '04, p.208, 2006.
DOI : 10.1145/1103900.1103933

S. Lukovic and L. Fiorin, An automated design flow for NoC-based MP- SoCs on FPGA, 19th IEEE/IFIP International Symposium on Rapid System Prototyping (RSP'08), pp.58-64, 2008.

R. David and . Lutz, Fused multiply-add microarchitecture comprising separate earlynormalizing multiply and add pipelines, IEEE Symposium on Computer Arithmetic (ARITH 20), pp.123-128, 2011.

P. Markstein, IA-64 and elementary functions: speed and precision, 2000.

P. Markstein, Software division and square root using Goldschmidt's algorithms, Proceedings of the 6th Conference on Real Numbers and Computers, pp.146-157, 2004.

W. Peter and . Markstein, Computation of elementary functions on the ibm risc system/6000 processor, IBM Journal of Research and Development, vol.34, issue.1, pp.111-119, 1990.

. Merkert, GCC bug 34678: Optimization generates incorrect code with -frounding-math option

R. K. Montoye, E. Hokenek, and S. L. Runyon, Design of the IBM Risc Sys- tem/6000 floating-point execution unit, IBM Journal of Research and Development, 1990.

E. Gordon and . Moore, Cramming more components onto integrated circuits, 1965.

C. Mucci, Software Tools for Embedded Reconfigurable Processors, 2006.

C. Mucci, C. Chiesa, A. Lodi, M. Toma, and F. Campi, A C-based algorithm development flow for a reconfigurable processor architecture, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748), pp.69-73, 2003.
DOI : 10.1109/ISSOC.2003.1267720

C. Mucci, D. Rossi, F. Campi, M. Pizzotti, L. Perugini et al., The Dream Digital Signal Processor, Dynamic System Reconfiguration in Heterogeneous Platforms, 2009.
DOI : 10.1007/978-90-481-2427-5_5

J. Muller, On the definition of ulp(x), 2005.
URL : https://hal.archives-ouvertes.fr/inria-00070503

J. Muller, Elementary functions: algorithms and implementation, 2006.
URL : https://hal.archives-ouvertes.fr/ensl-00000008

J. Muller, N. Brisebarre, F. De-dinechin, C. Jeannerod, V. Lefèvre et al., Handbook of floating-point arithmetic, 2010.
DOI : 10.1007/978-0-8176-4705-6

URL : https://hal.archives-ouvertes.fr/ensl-00379167

A. Munshi, B. Gaster, G. Timothy, D. Mattson, and . Ginsburg, OpenCL programming guide, 2011.

D. Naishlos, Autovectorization in GCC, Proceedings of the GCC Developers Summit, pp.105-118, 2004.

D. Nuzman and A. Zaks, Autovectorization in GCC?two years later, Proceedings of the GCC Developers Summit, pp.145-158, 2006.

F. Stuart, M. J. Oberman, and . Flynn, Division algorithms and implementations, IEEE Transactions on Computers, vol.46, issue.8, pp.833-854, 1997.

F. Stuart, M. J. Oberman, and . Flynn, Reducing the mean latency of floating-point addition, Theoretical Computer Science, 1998.

F. Stuart, M. Y. Oberman, and . Siu, A high-performance area-efficient multifunction interpolator, 17th IEEE Symposium on Computer Arithmetic (ARITH), pp.272-279, 2005.

G. Vojin, D. Oklobdzija, S. S. Villeger, and . Liu, A method for speed optimized partial product reduction and generation of fast parallel multipliers using an algorithmic approach, IEEE Transactions on Computers, vol.45, issue.3, pp.294-306, 1996.

O. John, X. Leary, R. Zhao, C. Gerth, and . Seger, Formally verifying IEEE compliance of floating-point hardware, Intel Technology Journal, vol.3, issue.1, pp.1-14, 1999.

D. Opferman and N. T. Tsao-wu, On a class of rearrangeable switching networks, part 1 : Control algorithm. The Bell System Technical Journal, 1971.

C. Paar, Efficient VLSI Architectures for Bit-Parallel Computation in Galois Fields, 1994.

H. Parandeh-afshar, A. Neogy, P. Brisk, and P. Ienne, Compressor tree synthesis on commercial high-performance FPGAs, ACM Transactions on Reconfigurable Technology and Systems, vol.4, issue.4, 2011.
DOI : 10.1145/2068716.2068725

H. Parandeh-afshar, G. Zgheib, P. Brisk, and P. Ienne, Reducing the pressure on routing ressources of FPGAs with generic logic chains, 2011.

J. Pineiro, S. F. Oberman, J. Muller, and J. D. Bruguera, High-speed function approximation using a minimax quadratic interpolator, IEEE Transactions on Computers, vol.54, issue.3, pp.304-318, 2005.
DOI : 10.1109/TC.2005.52

URL : https://hal.archives-ouvertes.fr/ensl-00000002

T. Nhon, . Quach, J. Michael, and . Flynn, Leading one prediction?Implementation, generalization , and application, 1991.

E. C. Quinell, Floating-Point Fused Multiply-Add Architectures, 2007 Conference Record of the Forty-First Asilomar Conference on Signals, Systems and Computers, 2007.
DOI : 10.1109/ACSSC.2007.4487224

E. C. Quinell, E. E. Swartzlander-jr, and C. Lemonds, Three-path fused multiplyadder circuit, 2011.

C. Rebeiro, D. Selvakumar, and A. S. Devi, Bitslice Implementation of AES, Cryptology and Network Security, 2006.
DOI : 10.1007/11935070_14

E. Remez, Sur le calcul effectif des polynomes d'approximation de Tchebichef, CR Académie des Sciences, vol.199, pp.337-340, 1934.

G. Revy, Implementation of binary floating-point arithmetic on embedded integer processors -Polynomial evaluation-based algorithms and certified code generation, 2009.
URL : https://hal.archives-ouvertes.fr/tel-00469661

J. E. Robertson, A New Class of Digital Division Methods, IEEE Transactions on Electronic Computers, vol.7, issue.3, pp.218-222, 1958.
DOI : 10.1109/TEC.1958.5222579

A. Rudra, P. K. Dubey, C. S. Jutla, V. Kumar, J. R. Rao et al., Efficient Rijndael Encryption Implementation with Composite Field Arithmetic, Proceedings of the 3rd International Workshop on Cryptographic Hardware and Embedded Systems (CHES), pp.171-184, 2001.
DOI : 10.1007/3-540-44709-1_16

H. Hani, E. E. Saleh, and . Swartzlander-jr, A floating-point fused dot-product unit, IEEE International Conference on Computer Design (ICCD'08), pp.427-431, 2008.

S. Martin, K. J. Schmookler, and . Nowka, Leading zero anticipation and detection -a comparison of methods, Proceedings of the 15th IEEE Symposium on Computer Arithmetic, pp.7-12, 2001.

E. M. Schwarz, M. M. Schmookler, and S. D. Trong, FPU Implementations with Denormalized Numbers, IEEE Transactions on Computers, vol.54, issue.7, pp.825-836, 2005.
DOI : 10.1109/TC.2005.118

A. Sodani, Race to exascale: Opportunities and challenges, Keynote at the Annual IEEE/ACM 44th Annual International Symposium on Microarchitecture, 2011.

E. John, D. Stone, G. Gohara, and . Shi, OpenCL: A parallel programming standard for heterogeneous computing systems, Computing in science & engineering, vol.12, issue.3, p.66, 2010.

P. Tang, Table-driven implementation of the exponential function in IEEE floating-point arithmetic, ACM Transactions on Mathematical Software, vol.15, issue.2, pp.144-157, 1989.
DOI : 10.1145/63522.214389

F. Alexandre and . Tenca, Multi-operand floating-point addition, Computer Arithmetic 19th IEEE Symposium on, pp.161-168, 2009.

G. Russell and . Tessier, Fast place and route approaches for FPGAs, 1998.

D. Keith and . Tocher, Techniques of multiplication and division for automatic binary computers, The Quarterly Journal of Mechanics and Applied Mathematics, vol.11, issue.3, pp.364-384, 1958.

W. J. Townsend, E. E. Swartzlander-jr, and J. A. Abraham, A comparison of Dadda and Wallace multiplier delays, Advanced Signal Processing Algorithms, Architectures, and Implementations XIII, pp.552-560, 2003.
DOI : 10.1117/12.507012

S. Kishor, M. D. Trivedi, and . Ercegovac, On-line algorithms for division and multiplication, IEEE Transactions on Computers, vol.100, issue.7, pp.681-687, 1977.

D. Villeger, G. Vojin, and . Oklobdzija, Evaluation of Booth encoding techniques for parallel multiplier implementation, Electronics Letters, vol.29, issue.23, pp.2016-2017, 1993.
DOI : 10.1049/el:19931345

. Wikipedia, Advanced Encryption Standard

. Wikipedia, Floating-point

M. Xiao-lu, Leading Zero Anticipation for Latency Improvement in Floating-Point Fused Multiply-Add Units, 2005 6th International Conference on ASIC, pp.53-56, 2005.
DOI : 10.1109/ICASIC.2005.1611267

G. Zhang, Z. Qi, and W. Hu, A Novel Design of Leading Zero Anticipation Circuit With Parallel Error Detection, 2005 IEEE International Symposium on Circuits and Systems, pp.676-679, 2005.
DOI : 10.1109/ISCAS.2005.1464678

A. Ziv, Fast evaluation of elementary mathematical functions with correctly rounded last bit, ACM Transactions on Mathematical Software, vol.17, issue.3, pp.410-423, 1991.
DOI : 10.1145/114697.116813