Method and apparatus for controlling electric currents, U. S. Patents, 1930. ,
Miniaturized electronic circuits, U. S. Patents, 1964. ,
DOI : 10.1109/n-ssc.2007.4785580
Cramming More Components Onto Integrated Circuits, Proc. IEEE, pp.82-85, 1998. ,
DOI : 10.1109/JPROC.1998.658762
A review of gate tunneling current in MOS devices, Microelectronics Reliability, vol.46, issue.12, pp.1939-1956, 2006. ,
DOI : 10.1016/j.microrel.2005.12.006
Development of hafnium based high-k materials???A review, Materials Science and Engineering: R: Reports, vol.72, issue.6, pp.97-136, 2011. ,
DOI : 10.1016/j.mser.2010.12.001
Review on high-k dielectrics reliability issues, IEEE Transactions on Device and Materials Reliability, vol.5, issue.1, pp.5-19, 2005. ,
DOI : 10.1109/TDMR.2005.845236
Roadmap for 22nm and beyond (Invited Paper), Microelectronic Engineering, vol.86, issue.7-9, pp.1520-1528, 2009. ,
DOI : 10.1016/j.mee.2009.03.129
From the future Si technology perspective: Challenges and opportunities, 2010 International Electron Devices Meeting, pp.1-1, 2010. ,
DOI : 10.1109/IEDM.2010.5703274
Benchmarking SOI and bulk FinFET alternatives for PLANAR CMOS scaling succession, Solid-State Electronics, vol.54, issue.9, pp.855-860, 2010. ,
DOI : 10.1016/j.sse.2010.04.010
Band-to-band tunneling transistors: scalability and circuit performance, 2012. ,
Junctionless multigate field-effect transistor, Applied Physics Letters, vol.94, issue.5, p.53511, 2009. ,
DOI : 10.1063/1.3079411
Tunnel field-effect transistors as energy-efficient electronic switches, Nature, vol.58, issue.7373, pp.329-337, 2011. ,
DOI : 10.1038/nature10679
FDSOI devices with thin BOX and ground plane integration for 32nm node and below, Solid-State Device Research Conference, pp.206-209, 2008. ,
Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture, 2002. ,
Multiple-gate SOI MOSFETs, Solid-State Electronics, vol.48, issue.6, pp.897-905, 2004. ,
DOI : 10.1016/j.sse.2003.12.020
URL : https://hal.archives-ouvertes.fr/hal-00603741
I-MOS: a novel semiconductor device with a subthreshold slope lower than kT/q, Digest. International Electron Devices Meeting,, pp.289-292, 2002. ,
DOI : 10.1109/IEDM.2002.1175835
A New Route to Zero-Barrier Metal Source/Drain MOSFETs, IEEE Transactions On Nanotechnology, vol.3, issue.1, pp.98-104, 2004. ,
DOI : 10.1109/TNANO.2003.820774
UTBB FDSOI transistors with dual STI for a multi-Vt strategy at 20nm node and below, Electron Devices Meeting, 2012. IEDM Technical Digest, pp.3-6, 2012. ,
Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications, Electron Devices Meeting, pp.1-4, 2009. ,
Fully depleted extremely thin SOI technology fabricated by a novel integration scheme featuring implant-free, zero-silicon-loss, and faceted raised source/drain, VLSI Technology, pp.212-213, 2009. ,
Intel Ivy Bridge unveiled — The first commercial tri-gate, high-k, metal-gate CPU, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, pp.1-4, 2012. ,
DOI : 10.1109/CICC.2012.6330644
A 10 nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI, 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, pp.1-2, 2014. ,
Performance estimation of junctionless multigate transistors, Solid-State Electronics, vol.54, issue.2, pp.97-103, 2010. ,
DOI : 10.1016/j.sse.2009.12.003
Electrical characteristics of 20-nm junctionless Si nanowire transistors, Solid-State Electronics, vol.73, pp.7-10, 2012. ,
DOI : 10.1016/j.sse.2011.11.032
Silicon films on sapphire, Reports on Progress in Physics, vol.50, issue.3, p.327, 1987. ,
DOI : 10.1088/0034-4885/50/3/002
C.M.O.S. devices fabricated on buried SiO2 layers formed by oxygen implantation into silicon, Electronics Letters, vol.14, issue.18, pp.593-594, 1978. ,
DOI : 10.1049/el:19780397
Historical overview of SIMOX, Vacuum, vol.42, issue.5-6, pp.333-340, 1991. ,
DOI : 10.1016/0042-207X(91)90050-S
Films by Oxygen-Ion Bombardment, Japanese Journal of Applied Physics, vol.5, issue.8, p.737, 1966. ,
DOI : 10.1143/JJAP.5.737
Wafer bonding technology for silicon-on-lnsulator applications: A review, Journal of Electronic Materials, vol.8, issue.23, pp.669-676, 1992. ,
DOI : 10.1007/BF02655594
Frontiers of silicon-on-insulator, Journal of Applied Physics, vol.93, issue.9, pp.4955-4978, 2003. ,
DOI : 10.1063/1.1558223
Epitaxial layer transfer by bond and etch back of porous Si, Applied Physics Letters, vol.64, issue.16, pp.2108-2110, 1994. ,
DOI : 10.1063/1.111698
Electrical characterization of silicon-on-insulator materials and devices, 1995. ,
Silicon on insulator material technology, Electronics Letters, vol.31, issue.14, pp.1201-1202, 1995. ,
DOI : 10.1049/el:19950805
Novel pseudo-MOSFET methods for the characterization of advanced SOI substrates, PhD. Dissertation, INPG, 2013. ,
URL : https://hal.archives-ouvertes.fr/tel-00796613
Thin-body FinFET as scalable low voltage transistor, 2012 International Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA), 2012, pp.1-4 ,
Revisited Pseudo-MOSFET Models for the Characterization of Ultrathin SOI Wafers, IEEE Transactions on Electron Devices, vol.56, issue.7, pp.1507-1515, 2009. ,
DOI : 10.1109/TED.2009.2021715
Controlling Short-Channel Effects in Deep-Submicron SOI MOSFETs for Improved Reliability: A Review, IEEE Transactions on Device and Materials Reliability, vol.4, issue.1, pp.99-109, 2004. ,
DOI : 10.1109/TDMR.2004.824359
Substrate crosstalk reduction using SOI technology, IEEE Transactions on Electron Devices, vol.44, issue.12, pp.2252-2261, 1997. ,
DOI : 10.1109/16.644646
Technology trends of silicon-on-insulator-its advantages and problems to be solved, Proceedings of 1994 IEEE International Electron Devices Meeting, pp.429-432, 1994. ,
DOI : 10.1109/IEDM.1994.383376
Silicon-on-Insulator Technology: Materials to VLSI: Materials to Vlsi, 2004. ,
DOI : 10.1007/978-1-4757-2121-8
Silicon on insulator technologies and devices: from present to future, Solid-State Electronics, vol.45, issue.8, pp.1403-1411, 2001. ,
DOI : 10.1016/S0038-1101(00)00271-9
Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond, 2010 Symposium on VLSI Technology, pp.61-62, 2010. ,
DOI : 10.1109/VLSIT.2010.5556120
Low leakage and low variability Ultra-Thin Body and Buried Oxide (UT2B) SOI technology for 20nm low power CMOS and beyond, 2010 Symposium on VLSI Technology, pp.57-58, 2010. ,
DOI : 10.1109/VLSIT.2010.5556122
Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond, Electron Devices Meeting (IEDM), pp.3-5, 2010. ,
Silicon CMOS devices beyond scaling, IBM Journal of Research and Development, vol.50, issue.4.5, pp.339-361, 2006. ,
DOI : 10.1147/rd.504.0339
Film thickness constraints for manufacturable strained silicon CMOS, Semiconductor Science and Technology, vol.19, issue.1, p.4, 2004. ,
DOI : 10.1088/0268-1242/19/1/L02
Comparison of device performance and scaling capability of thin-body GOI and SOI MOSFETs, Semiconductor Science and Technology, vol.20, issue.10, p.1034, 2005. ,
DOI : 10.1088/0268-1242/20/10/009
Benefits and challenges of FDSOI technology for 14nm node, IEEE 2011 International SOI Conference, pp.1-21, 2011. ,
DOI : 10.1109/SOI.2011.6081710
A Model of Fringing Fields in Short-Channel Planar and Triple-Gate SOI MOSFETs, IEEE Transactions on Electron Devices, vol.54, issue.6, pp.1366-1375, 2007. ,
DOI : 10.1109/TED.2007.895241
URL : https://hal.archives-ouvertes.fr/hal-00392888
Multi-<formula formulatype="inline"><tex Notation="TeX">$V_{T}$</tex></formula> UTBB FDSOI Device Architectures for Low-Power CMOS Circuit, IEEE Transactions on Electron Devices, vol.58, issue.8, pp.2473-2482, 2011. ,
DOI : 10.1109/TED.2011.2155658
Efficient multi-V<inf>T</inf> FDSOI technology with UTBOX for low power circuit design, 2010 Symposium on VLSI Technology, pp.65-66, 2010. ,
DOI : 10.1109/VLSIT.2010.5556118
Mobility Enhancement by Back-Gate Biasing in Ultrathin SOI MOSFETs With Thin BOX, IEEE Electron Device Letters, vol.33, issue.3, pp.348-350, 2012. ,
DOI : 10.1109/LED.2011.2181816
Why are SCE overestimated in FD-SOI MOSFETs?, 2013 Proceedings of the European Solid-State Device Research Conference (ESSDERC), pp.304-307, 2013. ,
DOI : 10.1109/ESSDERC.2013.6818879
URL : https://hal.archives-ouvertes.fr/hal-01022509
High performance FDSOI CMOS technology with metal gate and high-k, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005., pp.214-215, 2005. ,
DOI : 10.1109/.2005.1469272
Multi-gate devices for the 32nm technology node and beyond, Solid-State Electronics, vol.52, issue.9, pp.1291-1296, 2008. ,
DOI : 10.1016/j.sse.2008.04.018
Scaling assessment of fully-depleted SOI technology at the 30 nm gate length generation, IEEE International SOI Conference SOI-02, pp.25-27, 2002. ,
DOI : 10.1109/SOI.2002.1044402
Design of sub-50 nm ultrathin-body (UTB) SOI MOSFETs with raised S/D, 2003 IEEE Conference on Electron Devices and Solid-State Circuits (IEEE Cat. No.03TH8668), pp.251-254, 2003. ,
DOI : 10.1109/EDSSC.2003.1283525
Future of nano CMOS technology, 2011 IEEE Regional Symposium on Micro and Nanoelectronics (RSM), pp.3-3, 2011. ,
SOI substrate readiness for 22/20 nm and for fully depleted planar device architectures, 2009 IEEE International SOI Conference, pp.1-4, 2009. ,
DOI : 10.1109/SOI.2009.5318744
SOI thickness uniformity improvement using corrective etching for silicon nano-photonic device, 8th IEEE International Conference on Group IV Photonics, pp.71-73, 2011. ,
DOI : 10.1109/GROUP4.2011.6053719
Self-heating effects in ultrathin FD SOI transistors, IEEE 2011 International SOI Conference, pp.1-2, 2011. ,
DOI : 10.1109/SOI.2011.6081685
SOI thermal impedance extraction methodology and its significance for circuit simulation, IEEE Transactions on Electron Devices, vol.48, issue.4, pp.730-736, 2001. ,
DOI : 10.1109/16.915707
On extraction of self-heating features in UTBB SOI MOSFETs, 2012 13th International Conference on Ultimate Integration on Silicon (ULIS), pp.109-112 ,
DOI : 10.1109/ULIS.2012.6193369
Experimental study of self-heating effect (SHE) in SOI MOSFETs: Accurate understanding of temperatures during AC conductance measurement, proposals of 2ω method and modified pulsed IV, 2012 International Electron Devices Meeting, pp.28-30, 2012. ,
DOI : 10.1109/IEDM.2012.6479120
Parasitic bipolar gain in fully depleted n-channel SOI MOSFET's, IEEE Transactions on Electron Devices, vol.41, issue.6, pp.970-977, 1994. ,
DOI : 10.1109/16.293310
Parasitic bipolar impact in 32nm undoped channel Ultra-Thin BOX (UTBOX) and biased ground plane FDSOI high-k/metal gate technology, 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC), pp.32-37, 2012. ,
DOI : 10.1109/ESSDERC.2011.6044222
Threshold voltage of thin-film Silicon-on-insulator (SOI) MOSFET's, IEEE Trans. Electron Devices, vol.30, issue.10, pp.1244-1251, 1983. ,
Enhanced coupling effects in vertical double-gate FinFETs, Solid-State Electronics, vol.97, pp.88-98, 2014. ,
DOI : 10.1016/j.sse.2014.04.024
Growing silicon on spinel adds up to high isolation, fast switching in ICs, p.113, 1969. ,
Floating body effects in partially-depleted SOI CMOS circuits, Proceedings of 1996 International Symposium on Low Power Electronics and Design, pp.1241-1253, 1997. ,
DOI : 10.1109/LPE.1996.547496
Analysis of kink characteristics in Silicon-on-insulator MOSFET's using two-carrier modeling, IEEE Transactions on Electron Devices, vol.32, issue.2, pp.458-462, 1985. ,
DOI : 10.1109/T-ED.1985.21963
Analysis and control of floating-body bipolar effects in fully depleted submicrometer SOI MOSFET's, IEEE Transactions on Electron Devices, vol.38, issue.6, pp.1384-1391, 1991. ,
DOI : 10.1109/16.81630
Parasitic bipolar impact in 32nm undoped channel Ultra-Thin BOX (UTBOX) and biased ground plane FDSOI high-k/metal gate technology, 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC), pp.32-37, 2012. ,
DOI : 10.1109/ESSDERC.2011.6044222
Short-channel MOS transistors in the avalanche-multiplication regime, IEEE Transactions on Electron Devices, vol.29, issue.11, pp.1778-1784, 1982. ,
DOI : 10.1109/T-ED.1982.21026
The enhancement of gate-induced-drain-leakage (GIDL) current in short-channel SOI MOSFET and its application in measuring lateral bipolar current gain beta, IEEE Electron Device Letters, vol.13, issue.11, pp.572-574, 1992. ,
DOI : 10.1109/55.192844
A SOI capacitor-less 1T-DRAM concept, 2001 IEEE International SOI Conference. Proceedings (Cat. No.01CH37207), pp.153-154, 2001. ,
DOI : 10.1109/SOIC.2001.958032
A new memory effect (MSD) in fully depleted SOI MOSFETs, Solid-State Electronics, vol.49, issue.9, pp.1547-1555, 2005. ,
DOI : 10.1016/j.sse.2005.07.019
URL : https://hal.archives-ouvertes.fr/hal-00145221
New Generation of Z-RAM, 2007 IEEE International Electron Devices Meeting, pp.925-928, 2007. ,
DOI : 10.1109/IEDM.2007.4419103
Ultimately thin SOI MOSFETs: special characteristics and mechanisms, 1999 IEEE International SOI Conference. Proceedings (Cat. No.99CH36345), pp.92-93, 1999. ,
DOI : 10.1109/SOI.1999.819868
Ultra-thin fully-depleted SOI MOSFETs: Special charge properties and coupling effects, Solid-State Electronics, vol.51, issue.2, pp.239-244, 2007. ,
DOI : 10.1016/j.sse.2007.01.016
URL : https://hal.archives-ouvertes.fr/hal-00146784
Buried oxide fringing capacitance: a new ,
Thin film transistor having lightly and heavily doped source/drain regions and its manufacture, U. S. Patents, 1999. ,
Low???temperature dopant activation and its application to polycrystalline silicon thin film transistors, Applied Physics Letters, vol.69, issue.3, pp.380-382, 1996. ,
DOI : 10.1063/1.118067
Ultra-thin body SOI MOSFET for deep-sub-tenth micron era, Electron Devices Meeting IEDM '99. Technical Digest. International, pp.919-921, 1999. ,
Gate length scaling and threshold voltage control of double-gate MOSFETs, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138), pp.719-722, 2000. ,
DOI : 10.1109/IEDM.2000.904419
High performance CMOS device structure with mid-gap metal gate, 2005. ,
Advanced Metal Gate/High-K Dielectric Stacks for High-Performance CMOS Transistors, AVS 5 th Int. Microelectronics Interfaces Conf, pp.3-5, 2004. ,
Performance and variability in multi-VT FinFETs using fin doping, VLSI Technology, Systems, and Applications (VLSI-TSA), 2012 International Symposium on, pp.1-2, 2012. ,
Antoniadis, and H. I. Smith Crystalline silicon on insulators by graphoepitaxy, Electron Devices Meeting, pp.210-212, 1979. ,
An experimental 1Mb DRAM with on-chip voltage limiter, " in Solid-State Circuits Conference. Digest of Technical Papers, IEEE International, vol.XXVII, pp.282-283, 1984. ,
A capacitance coupled bit line cell for Mb level DRAMs, " in Solid-State Circuits Conference. Digest of Technical Papers, IEEE International, vol.XXVII, pp.100-101, 1984. ,
Is 3D chip technology the next growth engine for performance improvement?, IBM Journal of Research and Development, vol.52, issue.6, pp.541-552, 2008. ,
DOI : 10.1147/JRD.2008.5388561
3D integration technology and reliability, 2011 International Reliability Physics Symposium, pp.3-4, 2011. ,
DOI : 10.1109/IRPS.2011.5784496
Wafer-to-wafer bonding for microstructure formation, Proc. IEEE, pp.1575-1585, 1998. ,
DOI : 10.1109/5.704262
Reducing R ext in laser annealed enhancement-mode In 0.53 Ga 0.47 As surface channel n-MOSFET, VLSI Technology Systems and Applications (VLSI-TSA), 2010 International Symposium on, pp.38-39, 2010. ,
The toughest transistor yet [GaN transistors], IEEE Spectrum, vol.39, issue.5, pp.28-33, 2002. ,
DOI : 10.1109/6.999791
N-polar GaN-based highly scaled self-aligned MIS-HEMTs with state-of-the-art fT.LG product of 16.8 GHz-µm, Electron Devices Meeting, pp.1-3, 2009. ,
Demonstration of low-leakage-current low-on-resistance 600-V 5.5-A GaN/AlGaN HEMT, IEEE Electron Device Lett, vol.30, issue.10, pp.1027-1029, 2009. ,
Inversion-channel GaN MOSFET using atomic-layer-deposited Al 2 O 3 as gate dielectric, International Symposium on VLSI Technology, Systems, and Applications, pp.131-132, 2009. ,
DOI : 10.1109/vtsa.2009.5159325
Intel's Revolutionary 22 nm Transistor Technology, 2011. ,
High-performance fully depleted silicon nanowire (diameter /spl les/ 5 nm) gate-all-around CMOS devices, IEEE Electron Device Letters, vol.27, issue.5, pp.383-386, 2006. ,
DOI : 10.1109/LED.2006.873381
Review of FINFET technology, 2009 IEEE International SOI Conference, pp.1-4, 2009. ,
DOI : 10.1109/SOI.2009.5318794
Comprehensive study of effective current variability and MOSFET parameter correlations in 14nm multi-fin SOI FINFETs, 2013 IEEE International Electron Devices Meeting, pp.13-18, 2013. ,
DOI : 10.1109/IEDM.2013.6724625
Scaling of SOI FinFETs down to fin width of 4 nm for the 10nm technology node, 2011 Symposium on VLSI Technology (VLSIT), pp.12-13, 2011. ,
Statistical variability study of a 10nm gate length SOI FinFET device, 2012 IEEE Silicon Nanoelectronics Workshop (SNW), pp.1-2, 2012. ,
DOI : 10.1109/SNW.2012.6243343
Statistical Variability and Reliability and the Impact on Corresponding 6T-SRAM Cell Design for a 14-nm Node SOI FinFET Technology, IEEE Design & Test, vol.30, issue.6, pp.18-28, 2013. ,
DOI : 10.1109/MDAT.2013.2266395
Strained SOI FINFET SRAM Design, IEEE Electron Device Letters, vol.34, issue.7, pp.876-878, 2013. ,
DOI : 10.1109/LED.2013.2264620
5nm-gate nanowire FinFET, 2004 Symposium on VLSI Technology Digest of Technical Papers, pp.196-197, 2004. ,
Comparison of Junctionless and Conventional Trigate Transistors With <formula formulatype="inline"><tex Notation="TeX">$L_{g}$</tex></formula> Down to 26 nm, IEEE Electron Device Letters, vol.32, issue.9, pp.1170-1172, 2011. ,
DOI : 10.1109/LED.2011.2158978
A study of silicon and germanium junctionless transistors, PhD. Dissertation, National University of Ireland, 2013. ,
Mobility improvement in nanowire junctionless transistors by uniaxial strain, Applied Physics Letters, vol.97, issue.4, p.42114, 2010. ,
DOI : 10.1063/1.3474608
Revisited parameter extraction methodology for electrical characterization of junctionless transistors, Solid-State Electronics, vol.90, pp.86-93, 2013. ,
DOI : 10.1016/j.sse.2013.02.047
URL : https://hal.archives-ouvertes.fr/hal-01002163
Sensitivity of Threshold Voltage to Nanowire Width Variation in Junctionless Transistors, IEEE Electron Device Letters, vol.32, issue.2, pp.125-127, 2011. ,
DOI : 10.1109/LED.2010.2093506
Junctionless Nanowire Transistor (JNT): Properties and design guidelines, Solid-State Electronics, vol.65, issue.66, pp.33-37, 2011. ,
DOI : 10.1016/j.sse.2011.06.004
Effect of Source/Drain Doping Gradient on Threshold Voltage Variation in Double-Gate Fin Field Effect Transistors as Determined by Discrete Random Doping, Japanese Journal of Applied Physics, vol.49, issue.10, p.104301, 2010. ,
DOI : 10.1143/JJAP.49.104301
Improved extraction of GIDL in FDSOI devices for proper junction quality analysis, Solid-State Device Research Conference (ESSDERC), 2011 Proceedings of the European, pp.267-270, 2011. ,
Junctionless multigate field-effect transistor, Applied Physics Letters, vol.94, issue.5, p.53511, 2009. ,
DOI : 10.1063/1.3079411
Off current adjustment in ultra-thin SOI MOSFETs, Proceedings of the 30th European Solid-State Circuits Conference (IEEE Cat. No.04EX850), pp.305-308, 2004. ,
DOI : 10.1109/ESSDER.2004.1356550
Point-contact pseudo-MOSFET for in-situ characterization of as-grown silicon-on-insulator wafers, IEEE Electron Device Letters, vol.13, issue.2, pp.102-104, 1992. ,
DOI : 10.1109/55.144972
A review of the pseudo-MOS transistor in SOI wafers: operation, parameter extraction, and applications, IEEE Transactions on Electron Devices, vol.47, issue.5, pp.1018-1027, 2000. ,
DOI : 10.1109/16.841236
Pseudo-MOSFET Substrate Effects of Drain Current Hysteresis and Transient Behavior, IEEE Transactions on Electron Devices, vol.56, issue.6, pp.1269-1276, 2009. ,
DOI : 10.1109/TED.2009.2019370
Pseudo-MOSFET Drain-Current Transients: Influence of the Substrate, IEEE Electron Device Letters, vol.30, issue.9, pp.993-995, 2009. ,
DOI : 10.1109/LED.2009.2027719
The HgFET: a new characterization tool for SOI silicon film properties, 1997 IEEE International SOI Conference Proceedings, pp.180-181, 1997. ,
DOI : 10.1109/SOI.1997.634992
Si film electrical characterization in SOI substrates by the HgFET technique, Solid-State Electronics, vol.47, issue.8, pp.1311-1333, 2003. ,
DOI : 10.1016/S0038-1101(03)00065-0
Electrical characterization of wafer-bonded germanium-on-insulator substrates using a four-point-probe pseudo-metal-oxidesemiconductor field-effect transistor, Jpn J Appl Phys, vol.50, issue.4, pp.4-14, 2011. ,
Possible Influence of the Schottky Contacts on the Characteristics of Ultrathin SOI Pseudo-MOS Transistors, IEEE Transactions on Electron Devices, vol.52, issue.8, pp.1807-1814, 2005. ,
DOI : 10.1109/TED.2005.852173
URL : https://hal.archives-ouvertes.fr/hal-00145220
Characterization of silicon-on-insulator films with pseudo-metal-oxide-semiconductor field-effect transistor: Correlation between contact pressure, crater morphology, and series resistance, Applied Physics Letters, vol.94, issue.1, p.12111, 2009. ,
DOI : 10.1063/1.3030987
URL : https://hal.archives-ouvertes.fr/hal-00391712
Electrical characterization of silicon-on-insulator materials and devices, 1995. ,
New method for the extraction of MOSFET parameters, Electronics Letters, vol.24, issue.9, pp.543-545, 1988. ,
DOI : 10.1049/el:19880369
URL : https://hal.archives-ouvertes.fr/jpa-00227914
Detailed Investigation of Geometrical Factor for Pseudo-MOS Transistor Technique, IEEE Transactions on Electron Devices, vol.52, issue.3, pp.406-412, 2005. ,
DOI : 10.1109/TED.2005.843970
A Detailed Investigation of the Pseudo-Mos Transistor for in Situ Characterization of Soi Wafers, IEEE International SOI Conference, pp.30-31, 1992. ,
DOI : 10.1109/SOI.1992.664780
Three-interface pseudo-MOSFET models for the characterization of SOI wafers with ultrathin film and BOX, Microelectronic Engineering, vol.88, issue.7, pp.1236-1239, 2011. ,
DOI : 10.1016/j.mee.2011.03.082
Mobility and screening effect in heavily doped accumulation-mode metal-oxide-semiconductor field-effect transistors, Applied Physics Letters, vol.101, issue.7, p.73503, 2012. ,
DOI : 10.1063/1.4745604
Performance and variability in multi-VT FinFETs using fin doping, VLSI Technology, Systems, and Applications (VLSI-TSA), 2012 International Symposium on, pp.1-2, 2012. ,
Experimental Study on Electron Mobility in Accumulation-Mode Silicon-on-Insulator Metal? Oxide?Semiconductor Field-Effect Transistors, Jpn. J. Appl. Phys, vol.50, issue.9, p.4101, 2011. ,
Mobility enhancement effect in heavily doped junctionless nanowire silicon-on-insulator metal-oxide-semiconductor field-effect transistors, Applied Physics Letters, vol.101, issue.21, p.213502, 2012. ,
DOI : 10.1063/1.4767353
High-Temperature Performance of Silicon Junctionless MOSFETs, IEEE Transactions on Electron Devices, vol.57, issue.3, pp.620-625, 2010. ,
DOI : 10.1109/TED.2009.2039093
Direct bonding for wafer level 3D integration, 2010 IEEE International Conference on IC Design and Technology (ICICDT), pp.110-113, 2010. ,
3D integration technology and reliability, 2011 International Reliability Physics Symposium, pp.3-4, 2011. ,
DOI : 10.1109/IRPS.2011.5784496
Wafer stacking: key technology for 3D integration, 2009 IEEE International SOI Conference, pp.1-4, 2009. ,
DOI : 10.1109/SOI.2009.5318779
Method for room temperature metal direct bonding, 2005. ,
Wafer level packaging of MEMS, Journal of Micromechanics and Microengineering, vol.18, issue.7, p.73001, 2008. ,
DOI : 10.1088/0960-1317/18/7/073001
Ultra-thin DVS-BCB adhesive bonding of III-V wafers, dies and multiple dies to a patterned silicon-on-insulator substrate, Optical Materials Express, vol.3, issue.1, pp.35-46, 2013. ,
DOI : 10.1364/OME.3.000035
Wafer-Level Heterogeneous Integration for MOEMS, MEMS, and NEMS, IEEE Journal of Selected Topics in Quantum Electronics, vol.17, issue.3, pp.629-644, 2011. ,
DOI : 10.1109/JSTQE.2010.2093570
Self-Assembly of Chip-Size Components with Cavity Structures: High-Precision Alignment and Direct Bonding without Thermal Compression for Hetero Integration, Micromachines, vol.2, issue.4, pp.49-68, 2011. ,
DOI : 10.3390/mi2010049
Techniques for producing 3D ICs with high-density interconnect, Proceedings of the 21st International VLSI Multilevel Interconnection Conference, 2004. ,
Wafer-level bonding/stacking technology for 3D integration, Microelectronics Reliability, vol.50, issue.4, pp.481-488, 2010. ,
DOI : 10.1016/j.microrel.2009.09.015
Formation of deep via airgaps for three dimensional wafer to wafer interconnect, 2008. ,
Method for manufacturing an interconnect structure for stacked semiconductor device, U. S. Patents, 2002. ,
Interconnect structure for stacked semiconductor device, U. S. Patents, 2002. ,
Three-Dimensional Wafer Stacking Using Cu TSV Integrated with 45 nm High Performance SOI-CMOS Embedded DRAM Technology, Journal of Low Power Electronics and Applications, vol.4, issue.2, pp.77-89, 2014. ,
DOI : 10.3390/jlpea4020077
Wafer-to-wafer bonding for microstructure formation, Proc. IEEE, pp.1575-1585, 1998. ,
DOI : 10.1109/5.704262
SEMICONDUCTOR WAFER BONDING, Annual Review of Materials Science, vol.28, issue.1, pp.215-241, 1998. ,
DOI : 10.1146/annurev.matsci.28.1.215
Challenges for 3D IC integration: bonding quality and thermal management, International Interconnect Technology Conference, pp.210-212, 2007. ,
Recent developments of Cu-Cu non-thermo compression bonding for wafer-to-wafer 3D stacking, 2010 IEEE International 3D Systems Integration Conference (3DIC), pp.1-6, 2010. ,
DOI : 10.1109/3DIC.2010.5751454
Study of 15m pitch solder microbumps for 3D IC integration, Electronic Components and Technology Conference, pp.6-10, 2009. ,
Low-Temperature Titanium-Based Wafer Bonding, Journal of The Electrochemical Society, vol.154, issue.1, pp.20-25, 2007. ,
DOI : 10.1149/1.2388851
Low temperature direct wafer to wafer bonding for 3D integration, 3D Systems Integration Conference (3DIC), pp.16-18, 2010. ,
Characterization of GaAs-based n-n and p-n interface junctions prepared by direct wafer bonding, Journal of Applied Physics, vol.92, issue.12, pp.7544-7549, 2002. ,
DOI : 10.1063/1.1522484
junction fabricated by low temperature direct wafer bonding and layer exfoliation, Applied Physics Letters, vol.100, issue.9, p.92102, 2012. ,
DOI : 10.1063/1.3688174
Full characterization of Cu/Cu direct bonding for 3D integration, 2010 Proceedings 60th Electronic Components and Technology Conference (ECTC), pp.219-225, 2010. ,
DOI : 10.1109/ECTC.2010.5490904
The work function of the elements and its periodicity, Journal of Applied Physics, vol.48, issue.11, pp.4729-4733, 1977. ,
DOI : 10.1063/1.323539
Electrical resistivity of titanium nitride thin films prepared by ion beam-assisted deposition, Physica B: Condensed Matter, vol.307, issue.1-4, pp.150-157, 2001. ,
DOI : 10.1016/S0921-4526(01)00626-3
Resistivities of titanium nitride films prepared onto silicon by an ion beam assisted deposition method, Journal of Physics D: Applied Physics, vol.37, issue.7, p.1095, 2004. ,
DOI : 10.1088/0022-3727/37/7/023
Evaluation of Schottky barrier height of TiN???p-type Si(100), Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.5, pp.1980-1983, 2004. ,
DOI : 10.1116/1.1774199
Resistivity, mobility and impurity levels in GaAs, Ge, and Si at 300??K, Solid-State Electronics, vol.11, issue.6, pp.599-602, 1968. ,
DOI : 10.1016/0038-1101(68)90012-9
Electrical characterization of silicon-on-insulator materials and devices, 1995. ,
Effect of temperature, illumination and frequency on the electrical characteristics of Cu/p-Si Schottky diode prepared by liquid phase epitaxy, Journal of Alloys and Compounds, vol.495, issue.1, pp.116-120, 2010. ,
DOI : 10.1016/j.jallcom.2010.01.098
Tungsten/titanium nitride low???resistance interconnections durable for high???temperature processing, Journal of Applied Physics, vol.64, issue.6, pp.3263-3268, 1988. ,
DOI : 10.1063/1.342493
Interdiffusion and reactions in the Cu/TiN/Si thin film system, Applied Surface Science, vol.92, pp.335-339, 1996. ,
DOI : 10.1016/0169-4332(95)00251-0
The electrical resistivity and resistance-temperature characteristics of thin titanium films, Thin Solid Films, vol.10, issue.2, pp.243-253, 1972. ,
DOI : 10.1016/0040-6090(72)90191-5
Planar Fully depleted SOI technology: A Powerful architecture for the 20nm node and beyond, Electron Devices Meeting (IEDM), pp.3-5, 2010. ,
28nm FDSOI technology platform for high-speed low-voltage digital applications, 2012 Symposium on VLSI Technology (VLSIT), pp.133-134, 2012. ,
DOI : 10.1109/VLSIT.2012.6242497
28nm CMOS, energy efficient and variability tolerant, 350mV-to-1.0 V, 10MHz/700MHz, 252bits frame error-decoder, ESSCIRC (ESSCIRC), 2012 Proceedings of the, pp.153-156, 2012. ,
Fully-depleted SOI technology using high-k and single-metal gate for 32 nm node LSTP applications featuring 0, Electron Devices Meeting, pp.2-6, 2007. ,
A 3 GHz Dual Core Processor ARM Cortex TM -A9 in 28 nm UTBB FD-SOI CMOS With Ultra-Wide Voltage Range and Energy Efficiency Optimization, IEEE Journal of Solid-State Circuits, vol.49, issue.4, pp.812-826, 2014. ,
DOI : 10.1109/JSSC.2013.2295977
Robust clock tree using single-well cells for multi-VT 28nm UTBB FD-SOI digital circuits, 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), pp.1-2, 2013. ,
DOI : 10.1109/S3S.2013.6716540
Parasitic bipolar effect in advanced FD SOI MOSFETs: experimental evidence and gain extraction, EuroSOI 2014, Proceeding of EuroSOI 2014, 2014. ,
EP2: 20–22nm technology options and design implications, 2011 IEEE International Solid-State Circuits Conference, pp.526-526, 2011. ,
DOI : 10.1109/ISSCC.2011.5746420
Ultra-thin body SOI MOSFET for deep-sub-tenth micron era, Electron Devices Meeting IEDM '99. Technical Digest. International, pp.919-921, 1999. ,
Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits, Proc. IEEE, pp.305-327, 2003. ,
DOI : 10.1109/JPROC.2002.808156
Direct-tunneling gate leakage current in double-gate and ultrathin body MOSFETs, IEEE Transactions on Electron Devices, vol.49, issue.12, pp.2288-2295, 2002. ,
DOI : 10.1109/TED.2002.807446
Impact ionization and band-to-band tunneling in ultrathin body SOI devices with undoped channels, 2003 IEEE International Conference on Robotics and Automation (Cat No 03CH37422) SOI-03), pp.166-167, 2003. ,
DOI : 10.1109/SOI.2003.1242938
Gate-induced drain leakage in FD-SOI devices: What the TFET teaches us about the MOSFET, Microelectronic Engineering, vol.88, issue.7, pp.1301-1304, 2011. ,
DOI : 10.1016/j.mee.2011.03.092
Analysis and control of floating-body bipolar effects in fully depleted submicrometer SOI MOSFET's, IEEE Transactions on Electron Devices, vol.38, issue.6, pp.1384-1391, 1991. ,
DOI : 10.1109/16.81630
The enhancement of gate-induced-drain-leakage (GIDL) current in short-channel SOI MOSFET and its application in measuring lateral bipolar current gain beta, IEEE Electron Device Letters, vol.13, issue.11, pp.572-574, 1992. ,
DOI : 10.1109/55.192844
Substrate bias dependence of subthreshold slopes in fully depleted silicon-on-insulator MOSFET's, IEEE Transactions on Electron Devices, vol.38, issue.8, pp.1803-1807, 1991. ,
DOI : 10.1109/16.119018
Modeling of the subthreshold current and subthreshold swing of fully depleted short-channel Si???SOI-MESFETs, Solid-State Electronics, vol.53, issue.1, pp.57-62, 2009. ,
DOI : 10.1016/j.sse.2008.09.013
Properties of ultra-thin wafer-bonded silicon-on-insulator MOSFET's, IEEE Transactions on Electron Devices, vol.38, issue.6, pp.1289-1295, 1991. ,
DOI : 10.1109/16.81619
Gate-induced floating-body effect in fully-depleted SOI MOSFETs with tunneling oxide and back-gate biasing, Solid-State Electronics, vol.48, issue.7, pp.1243-1247, 2004. ,
DOI : 10.1016/j.sse.2004.01.002
A review of gate tunneling current in MOS devices, Microelectronics Reliability, vol.46, issue.12, pp.1939-1956, 2006. ,
DOI : 10.1016/j.microrel.2005.12.006
Modeling and simulation of tunneling through ultra-thin gate dielectrics, Journal of Applied Physics, vol.81, issue.12, pp.7900-7908, 1997. ,
DOI : 10.1063/1.365364
Review and perspective of high-k dielectrics on silicon, J. Telecommun. Inf. Technol, pp.33-43, 2007. ,
Gate Dielectrics on Silicon, Critical Reviews in Solid State and Materials Sciences, vol.1, issue.2009, pp.131-157, 2012. ,
DOI : 10.1109/LED.2004.832535
Modeling and Characterization of Gate Leakage in High-K Metal Gate Technology-Based Embedded DRAM, IEEE Transactions on Electron Devices, vol.60, issue.12, pp.4152-4158, 2013. ,
DOI : 10.1109/TED.2013.2285940
Defect density evaluation in a high-k MOSFET gate stack combining experimental and modeling methods, 2014 IEEE International Reliability Physics Symposium, p.4, 2014. ,
DOI : 10.1109/IRPS.2014.6861147
Electron injection from n-type Si substrates into (i) Transition metal high-k dielectrics and (ii) SiO<inf>2</inf> and Si oxynitride alloys: Conduction band edge states and negative ion state electron trap differences, Ulis 2011 Ultimate Integration on Silicon, pp.1-4, 2011. ,
DOI : 10.1109/ULIS.2011.5757999
Reliability Issues in High-Voltage Semiconductor Devices, 2012. ,
Gate-induced band-to-band tunneling leakage current in LDD MOSFETs, Electron Devices Meeting, 1992. IEDM'92. Technical Digest., International, pp.147-150, 1992. ,
Theory of Tunneling, Journal of Applied Physics, vol.32, issue.1, pp.83-91, 1961. ,
DOI : 10.1063/1.1735965
Band-to-band tunneling in silicon diodes and tunnel transistors, Massachusetts Institute of Technology, 2010. ,
An analytic three-terminal band-to-band tunneling model on GIDL in MOSFET, IEEE Transactions on Electron Devices, vol.48, issue.7, pp.1400-1405, 2001. ,
DOI : 10.1109/16.930658
Band-to-band tunneling transistors: scalability and circuit performance, 2012. ,
Chanllenges in the introduction of band to band tunneling in semiclassical models for Tunnel-FETs, 2010. ,
Germanium-Source Tunnel Field Effect Transistors for Ultra-Low Power Digital Logic, 2012. ,
Dual-<formula formulatype="inline"><tex Notation="TeX">$k$</tex></formula> Spacer Device Architecture for the Improvement of Performance of Silicon n-Channel Tunnel FETs, IEEE Transactions on Electron Devices, vol.57, issue.10, pp.2410-2417, 2010. ,
DOI : 10.1109/TED.2010.2057195
Tunnel Field Effect Transistor With Raised Germanium Source, IEEE Electron Device Letters, vol.31, issue.10, pp.1107-1109, 2010. ,
DOI : 10.1109/LED.2010.2061214
Breakdown mechanism in shortchannel MOS transistors, Electron Devices Meeting, pp.478-482, 1978. ,
Short-channel MOS transistors in the avalanche-multiplication regime, IEEE Transactions on Electron Devices, vol.29, issue.11, pp.1778-1784, 1982. ,
DOI : 10.1109/T-ED.1982.21026
Parasitic bipolar gain in fully depleted n-channel SOI MOSFET's, IEEE Transactions on Electron Devices, vol.41, issue.6, pp.970-977, 1994. ,
DOI : 10.1109/16.293310
Temperature dependence (300-600K) of parasitic bipolar effects in SOI- MOSFETs, Solid-State Device Research Conference, pp.520-523, 1997. ,
Parasitic bipolar impact in 32nm undoped channel Ultra-Thin BOX (UTBOX) and biased ground plane FDSOI high-k/metal gate technology, 2011 Proceedings of the European Solid-State Device Research Conference (ESSDERC), pp.32-37, 2012. ,
DOI : 10.1109/ESSDERC.2011.6044222
Determination of film and surface recombination in thin-film SOI devices using gated-diode technique, Solid- State Electron, vol.48, issue.3, pp.389-399, 2004. ,
Lifetime Mapping Technique for Ultrathin Silicon-on-Insulator Wafers, Journal of The Electrochemical Society, vol.152, issue.1, pp.99-106, 2005. ,
DOI : 10.1149/1.1825911
Low frequency noise characterization in n-channel FinFETs, Solid-State Electronics, vol.70, pp.20-26, 2012. ,
DOI : 10.1016/j.sse.2011.11.007
URL : https://hal.archives-ouvertes.fr/hal-00994184
A new hot carrier simulation method based on full 3D hydrodynamic equations, International Technical Digest on Electron Devices Meeting, pp.135-138, 1989. ,
DOI : 10.1109/IEDM.1989.74245
Steady-state and transient analysis of submicron devices using energy balance and simplified hydrodynamic models, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.13, issue.6, pp.702-711, 1994. ,
DOI : 10.1109/43.285243
Design for suppression of gate-induced drain leakage in LDD MOSFETs using a quasi-two-dimensional analytical model, IEEE Transactions on Electron Devices, vol.39, issue.7, pp.1694-1703, 1992. ,
DOI : 10.1109/16.141236
Suppression of the parasitic bipolar effect in ultra-thin-film nMOSFETs/SIMOX by Ar ion implantation into source/drain regions, Proceedings of International Electron Devices Meeting, pp.627-630, 1995. ,
DOI : 10.1109/IEDM.1995.499298
Suppression of parasitic bipolar effects and off-state leakage in fully-depleted SOI n-MOSFET's using Ge-implantation, IEEE Trans. Electron Devices, vol.42, issue.12, pp.2096-2103, 1995. ,
Band-to-Band Tunneling (BBT) Induced Leakage Current Enhancement in Irradiated Fully Depleted SOI Devices, IEEE Transactions on Nuclear Science, vol.54, issue.6, pp.2174-2180, 2007. ,
DOI : 10.1109/TNS.2007.911419
I-MOS: a novel semiconductor device with a subthreshold slope lower than kT/q, Digest. International Electron Devices Meeting,, pp.289-292, 2002. ,
DOI : 10.1109/IEDM.2002.1175835
A Capacitorless 1T-DRAM on SOI Based on Dynamic Coupling and Double-Gate Operation, IEEE Electron Device Letters, vol.29, issue.7, pp.795-798, 2008. ,
DOI : 10.1109/LED.2008.2000601
First direct beta measurement for parasitic lateral bipolar transistors in fully-depleted SOI MOSFET's, IEEE Transactions on Electron Devices, vol.40, issue.11, pp.2101-2102, 1993. ,
DOI : 10.1109/16.239766
High temperature behavior of submicroon MOS transistors fabricated on silicon on insulator substrates, PhD, 1998. ,
Physics of semiconductor devices, 3 rd Edition, 2007. ,
Drain / substrate coupling impact on DIBL of Ultra Thin Body and BOX SOI MOSFETs with undoped channel, 2009 Proceedings of the European Solid State Device Research Conference, pp.141-144, 2009. ,
DOI : 10.1109/ESSDERC.2009.5331323
Comprehensive Analysis of Short-Channel Effects in Ultrathin SOI MOSFETs, IEEE Transactions on Electron Devices, vol.60, issue.6, pp.1814-1819, 2013. ,
DOI : 10.1109/TED.2013.2255878
Multiple-gate SOI MOSFETs, Solid-State Electronics, vol.48, issue.6, pp.897-905, 2004. ,
DOI : 10.1016/j.sse.2003.12.020
URL : https://hal.archives-ouvertes.fr/hal-00603741
Sub-50 nm P-channel FinFET, IEEE Transactions on Electron Devices, vol.48, issue.5, pp.880-886, 2001. ,
DOI : 10.1109/16.918235
Advanced depleted-substrate transistors: single-gate, double-gate and tri-gate, SOLID STATE DEVICES Mater, pp.68-69, 2002. ,
Review of FINFET technology, 2009 IEEE International SOI Conference, pp.1-4, 2009. ,
DOI : 10.1109/SOI.2009.5318794
Strained SOI FINFET SRAM Design, IEEE Electron Device Letters, vol.34, issue.7, pp.876-878, 2013. ,
DOI : 10.1109/LED.2013.2264620
Performance Comparison Between Bulk and SOI Junctionless Transistors, IEEE Electron Device Letters, vol.34, issue.2, pp.169-171, 2013. ,
DOI : 10.1109/LED.2012.2231395
Threshold voltage of thin-film Silicon-oninsulator (SOI) MOSFET's, IEEE Trans. Electron Devices, vol.30, issue.10, pp.1244-1251, 1983. ,
Coupling effects and channels separation in FinFETs, Solid-State Electronics, vol.48, issue.4, pp.535-542, 2004. ,
DOI : 10.1016/j.sse.2003.09.033
New method for the extraction of MOSFET parameters, Electronics Letters, vol.24, issue.9, pp.543-545, 1988. ,
DOI : 10.1049/el:19880369
URL : https://hal.archives-ouvertes.fr/jpa-00227914
A Two-Dimensional Model for Interface Coupling in Triple-Gate Transistors, IEEE Transactions on Electron Devices, vol.54, issue.4, pp.767-775, 2007. ,
DOI : 10.1109/TED.2007.892364
URL : https://hal.archives-ouvertes.fr/hal-00392869
Analytical modeling of the two-dimensional potential distribution and threshold voltage of the SOI four-gate transistor, IEEE Transactions on Electron Devices, vol.53, issue.10, pp.2569-2577, 2006. ,
DOI : 10.1109/TED.2006.882283
URL : https://hal.archives-ouvertes.fr/hal-00145495
On the threshold voltage of metal???oxide???semiconductor field-effect transistors, Solid-State Electronics, vol.49, issue.7, pp.1179-1184, 2005. ,
DOI : 10.1016/j.sse.2005.04.010
Understanding threshold voltage in undoped-body MOSFETs: An appraisal of various criteria, Microelectronics Reliability, vol.46, issue.5-6, pp.731-742, 2006. ,
DOI : 10.1016/j.microrel.2005.07.116
Multiple gate devices: advantages and challenges, Microelectronic Engineering, vol.80, pp.378-385, 2005. ,
DOI : 10.1016/j.mee.2005.04.095
Junctionless multigate field-effect transistor, Applied Physics Letters, vol.94, issue.5, p.53511, 2009. ,
DOI : 10.1063/1.3079411
Performance estimation of junctionless multigate transistors, Solid-State Electronics, vol.54, issue.2, pp.97-103, 2010. ,
DOI : 10.1016/j.sse.2009.12.003
Charge-Based Modeling of Junctionless Double-Gate Field-Effect Transistors, IEEE Transactions on Electron Devices, vol.58, issue.8, pp.2628-2637, 2011. ,
DOI : 10.1109/TED.2011.2156413
URL : https://hal.archives-ouvertes.fr/hal-00617676
Conduction mechanisms in thin-film accumulation-mode SOI p-channel MOSFETs, IEEE Transactions on Electron Devices, vol.37, issue.3, pp.718-723, 1990. ,
DOI : 10.1109/16.47777
A Full-Range Drain Current Model for Double-Gate Junctionless Transistors, IEEE Transactions on Electron Devices, vol.58, issue.12, pp.4219-4225, 2011. ,
DOI : 10.1109/TED.2011.2169266
Threshold voltage, and 2D potential modeling within short-channel junctionless DG MOSFETs in subthreshold region, Solid-State Electronics, vol.90, pp.107-115, 2013. ,
DOI : 10.1016/j.sse.2013.02.044
Surface-Potential-Based Drain Current Analytical Model for Triple-Gate Junctionless Nanowire Transistors, IEEE Transactions on Electron Devices, vol.59, issue.12, pp.3510-3518, 2012. ,
DOI : 10.1109/TED.2012.2219055
Junctionless Nanowire Transistor (JNT): Properties and design guidelines, Solid-State Electronics, vol.65, issue.66, pp.33-37, 2011. ,
DOI : 10.1016/j.sse.2011.06.004
Revisited parameter extraction methodology for electrical characterization of junctionless transistors, Solid-State Electronics, vol.90, pp.86-93, 2013. ,
DOI : 10.1016/j.sse.2013.02.047
URL : https://hal.archives-ouvertes.fr/hal-01002163
Simple Analytical Bulk Current Model for Long-Channel Double-Gate Junctionless Transistors, IEEE Electron Device Letters, vol.32, issue.6, pp.704-706, 2011. ,
DOI : 10.1109/LED.2011.2127441
Transition from partial to full depletion in silicon-on-insulator transistors: Impact of channel length, Applied Physics Letters, vol.84, issue.7, pp.1192-1194, 2004. ,
DOI : 10.1063/1.1646461
Characterization of heavily doped SOI wafers under pseudo-MOSFET configuration, Solid-State Electronics, vol.90, pp.65-72, 2013. ,
DOI : 10.1016/j.sse.2013.02.050
URL : https://hal.archives-ouvertes.fr/hal-01001965
New method for the extraction of bulk channel mobility and flat-band voltage in junctionless transistors, Solid-State Electronics, vol.89, pp.139-141, 2013. ,
DOI : 10.1016/j.sse.2013.08.003
URL : https://hal.archives-ouvertes.fr/hal-01002110
Flat-band voltage and low-field mobility analysis of junctionless transistors under low-temperature, Semiconductor Science and Technology, vol.29, issue.4, p.45024, 2014. ,
DOI : 10.1088/0268-1242/29/4/045024
URL : https://hal.archives-ouvertes.fr/hal-01002462
Critical MOSFETs operation for low voltage/low power IC's: Ideal characteristics, parameter extraction, electrical noise and RTS fluctuations, Microelectronic Engineering, vol.39, issue.1-4, pp.31-57, 1997. ,
DOI : 10.1016/S0167-9317(97)00166-4
Mobility and screening effect in heavily doped accumulation-mode metal-oxide-semiconductor field-effect transistors, Applied Physics Letters, vol.101, issue.7, p.73503, 2012. ,
DOI : 10.1063/1.4745604
A new method for the extraction of flat-band voltage and doping concentration in Tri-gate Junctionless Transistors, Solid-State Electronics, vol.81, pp.113-118, 2013. ,
DOI : 10.1016/j.sse.2012.11.011
URL : https://hal.archives-ouvertes.fr/hal-01001935
Heterojunction-Free GaN Nanochannel FinFETs With High Performance, IEEE Electron Device Letters, vol.34, issue.3, pp.381-383, 2013. ,
DOI : 10.1109/LED.2013.2240372
URL : https://hal.archives-ouvertes.fr/hal-00994314
FDSOI devices with thin BOX and ground plane integration for 32nm node and below, Solid-State Device Research Conference, pp.206-209, 2008. ,
Enhanced coupling effects in vertical double-gate FinFETs, Solid-State Electronics, vol.97, pp.88-98, 2014. ,
DOI : 10.1016/j.sse.2014.04.024
A Capacitorless 1T-DRAM on SOI Based on Dynamic Coupling and Double-Gate Operation, IEEE Electron Device Letters, vol.29, issue.7, pp.795-798, 2008. ,
DOI : 10.1109/LED.2008.2000601
Parasitic bipolar effect in ultra-thin FD SOI MOSFETs, Solid-State Electronics, 2015. ,
DOI : 10.1016/j.sse.2015.02.009
Extraction of the Parasitic Bipolar Gain Using the Back-gate in Ultra-thin FD SOI MOSFETs, IEEE Elec. Dev. Lett, vol.32, issue.2, pp.96-98, 2015. ,
Enhanced coupling effects in vertical double-gate FinFETs, Solid-State Electronics, vol.97, pp.88-98, 2014. ,
DOI : 10.1016/j.sse.2014.04.024
Characterization of heavily doped SOI wafers under pseudo-MOSFET configuration, Solid-State Electronics, vol.90, pp.65-72, 2013. ,
DOI : 10.1016/j.sse.2013.02.050
URL : https://hal.archives-ouvertes.fr/hal-01001965
Back-gate effects and detailed characterization of junctionless transistor, 2015 45th European Solid State Device Research Conference (ESSDERC), 2015. ,
DOI : 10.1109/ESSDERC.2015.7324769
A simple compact model for carrier distribution and its application in single-, double- and triple-gate junctionless transistors, EUROSOI-ULIS 2015: 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, 2015. ,
DOI : 10.1109/ULIS.2015.7063827
Effect of back gate on parasitic bipolar effect in FD SOI MOSFETs, 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), pp.85-86, 2014. ,
DOI : 10.1109/S3S.2014.7028210
Parasitic bipolar effect in advanced FD SOI MOSFETs: experimental evidence and gain extraction, Proceedings of EuroSOI 2014, 2014. ,
A New Extracting Method for Estimating the Bonding Quality of Metal Bonded Wafers, Proceedings of EuroSOI 2013, 2013. ,
An analytical model of back-gate coupling effects in vertical double-gate SOI MOSFETs, Proceeding of EuroSOI 2013, 2013. ,
URL : https://hal.archives-ouvertes.fr/hal-01068009
Transport Properties in Heavily Doped SOI Wafers, Proceeding of EuroSOI 2012, 2012. ,
(Invited) The Pseudo-MOSFET: Principles and Recent Trends, 12 th Int. Symposium on High Purity Silicon, 222 nd Meeting of the Electrochemical Soc, 2012. ,
DOI : 10.1149/05005.0249ecst
FDSOI: A solution to suppress boron deactivation in low temperature processed devices, 2012 12th International Workshop on Junction Technology, pp.12-69 ,
DOI : 10.1109/IWJT.2012.6212812