Ambipolar independent double gate FET (Am -IDGFET) logic design : methods and techniques - TEL - Thèses en ligne Accéder directement au contenu
Thèse Année : 2012

Ambipolar independent double gate FET (Am -IDGFET) logic design : methods and techniques

Méthodes et techniques de synthèse des circuits logiques à base des transistors ambipolaires à double grille

Résumé

The continuous growth of global demand for semiconductor products (in a broad range of sectors, such as security, healthcare, entertainment, connectivity, energy, etc.) has been both enabled and fuelled by Moore’s law and regular doubling of circuit density and performance increases. However, as CMOS technology scaling begins to reach its theoretical limits, the ITRS predicts a new era known as “Beyond CMOS”. Novel materials and devices show an ability to complement or even replace the CMOS transistor or its channel in systems on chip with silicon-based technology. This has led to the identification of promising phenomena such as ambipolar conduction in quasi one- and zero-dimensional structures, for example in carbon nanotubes, graphene and silicon nanowires. Ambipolarity, in a dual-gate context (DG-FETs), means that n- and p-type behavior can be observed in the same device depending on the backgate voltage polarity. In addition to their attractive performances and the low power consumption, ambipolar double gate devices enable the development of completely new circuit structures and design paradigms. Conventional logic synthesis techniques cannot represent the capability of DG-FETs to operate as either n-type or p-type switches and new techniques must be found to build optimal logic. The work in this thesis explores design techniques to enable the use of such devices by defining generic approaches and design techniques based on ambipolar DG-FETs. Two different contexts are tackled: (i) improving standard cell logic design with more compact structures and better performance, as well as low-power design techniques exploiting the fourth terminal of the device, and (ii) adapting conventional logic synthesis and verification techniques such as Binary Decision Diagrams or Function Classification to ambipolar DGFETs in order to build reconfigurable logic cells. The proposed methods and techniques are validated and evaluated in a case study focused on DG-CNTFET through accurate simulations, using the most mature and recent DG-CNTFET model available in the literature.
La croissance continue de la demande mondiale des produits semi-conducteurs (dans un large éventail de secteurs, tels que la sécurité, la santé, le divertissement, la connectivité, l'énergie, etc) a été conduite par la loi de Moore en doublant régulièrement la densité et les performances des circuits numériques. Cependant, comme la miniaturisation de la technologie CMOS commence à atteindre ses limites théoriques, l'ITRS prévoit une nouvelle ère connue sous le nom "Beyond CMOS". Des nouveaux matériaux et dispositifs révèlent une capacité à compléter ou même remplacer le transistor CMOS ou son canal dans les systèmes sur puce à base de silicium. Cela a conduit à l'identification des phénomènes prometteurs tel que la conduction ambipolaire dans les structures quasi uni- et zéro-dimensionnels, par exemple dans les nanotubes de carbone, le graphène et les nanofils de silicium. L’ambipolarité, dans un contexte à double grille (DG-FET), signifie qu’un comportement de type N et P puisse être observé dans le même dispositif en fonction de la polarité de la tension de la grille arrière. En plus de leur performance attractive et leur faible consommation de puissance, les dispositifs ambipolaires à double grille indépendantes (Am-IDGFET) permettent le développement des structures logiques ainsi que des paradigmes de conception entièrement inédits. Les techniques classiques de synthèse logique ne peuvent pas représenter la capacité des Am-IDGFETs de fonctionner soit comme commutateurs de type N ou de type P. Alors des nouvelles techniques doivent être trouvées pour construire une logique optimale. Le travail de cette thèse explore les techniques de conception pour permettre l'utilisation de ces dispositifs en définissant des approches génériques et des techniques de conception basées sur les Am-IDGFETs. Deux contextes différents sont abordés: (i) l'amélioration de la conception de cellules logiques avec des structures plus compactes et une meilleure performance, ainsi que des techniques de conception à faible consommation qui exploitent la grille arrière du dispositif, et (ii) l'adaptation des techniques classiques de synthèse logique comme les diagrammes de décision binaires (BDDs) ou l’approche de classification des fonctions afin de construire des cellules logiques reconfigurables à base des Am-IDGFETs. Les méthodes et les techniques proposées sont validées et évaluées à travers une étude basée sur le dispositif DG-CNTFET par l’intermédiaire des simulations précises, en utilisant le modèle DG-CNTFET le plus mature disponible dans la littérature.

Domaines

Autre
Fichier principal
Vignette du fichier
TH_T2277_kjabeur.pdf (7.75 Mo) Télécharger le fichier
Origine : Version validée par le jury (STAR)

Dates et versions

tel-00777679 , version 1 (17-01-2013)

Identifiants

  • HAL Id : tel-00777679 , version 1

Citer

Kotb Jabeur. Ambipolar independent double gate FET (Am -IDGFET) logic design : methods and techniques. Other. Ecole Centrale de Lyon, 2012. English. ⟨NNT : 2012ECDL0023⟩. ⟨tel-00777679⟩
241 Consultations
778 Téléchargements

Partager

Gmail Facebook X LinkedIn More